About: VHDL

An Entity of Type: language, from Named Graph: http://dbpedia.org, within Data Space: dbpedia.org

The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates, for design entry, documentation, and verification purposes. Since 1987, VHDL has been standardized by the Institute of Electrical and Electronics Engineers (IEEE) as IEEE Std 1076; the latest version of which is IEEE Std 1076-2019. To model analog and mixed-signal systems, an IEEE-standardized HDL based on VHDL called VHDL-AMS (officially IEEE 1076.1) has been developed.

Property Value
dbo:abstract
  • VHDL ( Hardware Description Language) je v informatice název jazyka, který slouží pro popis hardwaru (HDL – Hardware Description Language). Používá se pro návrh a simulaci digitálních integrovaných obvodů, například programovatelných hradlových polí (CPLD, FPGA) nebo různých zákaznických obvodů (ASIC). Jazyk VHDL může být použit i jako paralelní programovací jazyk. (cs)
  • VHDL és l'acrònim que representa la combinació de VHSIC i HDL, on VHSIC és l'acrònim de «Very High Speed Integrated Circuit», i HDL és al seu torn l'acrònim de «Hardware Description Language». És un llenguatge fet servir per enginyers definit pel Institute of Electrical and Electronics Engineers (IEEE) ANSI/IEEE 1076-1993 que es fa servir per modelar, simular i sintetitzar, és a dir per dissenyar circuits digitals. Altres mètodes per dissenyar circuits són la captura d'esquemes (amb eines tipus CAD) i els diagrames de blocs, però aquest no són pràctics en dissenys complexos. Altres llenguatges pel mateix propòsit poden ser Verilog i ABEL. Tot i que es pot fer servir de forma general per descriure qualsevol circuit es fa servir principalment per dissenyar circuits integrats a molt gran escala digitals d'alta complexitat i per programar PLD (programable logic device - dispositiu lògic programable) i FPGA (Field Programmable Gate Array). Una de les característiques més important de VHDL, i probablement un dels aspectes més confusos del llenguatge per a algú nou és la capacitat del llenguatge per descriure un sistema altament concurrent per aprofitar plenament la naturalesa paral·lela de dispositius digitals. (ca)
  • في إتش دي أل أو لغة توصيف العتاد للدارات المتكاملة ذات السرعات المرتفعة جداً (بالإنجليزية: Very High Speed Integreted Circuit Hardware Description Language اختصاراً VHDL)‏ هي لغة برمجة قياسية صممت من قبل وزارة دفاع الولايات المتحدة حيث تستعمل في وصف، وتصميم، ومحاكاة دارات الدوائر الإلكترونية عالية السرعة. أصبحت منذ عام 1987 إحدى جمعية مهندسي الكهرباء والإلكترونيات وتمت مراجعتها في عام 1997. تتيح هذه اللغة توصيف التوازي والترابط بالإضافة للتعبير المفصل والمباشر للزمن وتسمح أيضا بتوصيف الدارات التماثلية لكنها غالبا ما تستخدم في تصميم دارات مصفوفة البوابات المنطقية القابلة للبرمجة. VHDL مقتبسة من لغة Ada في المفهوم العام كالرموز والمصفوفات أحادية البعد وتركيب العبارات وبناءها وهي غير حساسة لحالة الأحرف وهناك الكثير من الميزات غير موجودة في لغة Ada كمجموعة من التعليمات البوليانية التي تتضمن NAND,NOR مثلا وتمثيل العمليات الشائعة في البنية التعاودية مباشرة.وتسمح VHDL بإدخال المصفوفات في اتجاهين تصاعدي وتنازلي لأن كلا الا صطلاحين يستخدم في الـ HardWare ،والنسخة المعدلة الأولى من الـ VHDL تتضمن مجال واسع من أنواع البيانات كالنوع العددي(الصحيح والحقيقي)والمنطقي(البولياني والبتي)والنوع الحرفي والوقت ومصفوفة من النوع البتي التي تسمى الشعاع البتي والمصفوفة من نوع المحارف التي تسمى الشعاع السلسلة. لغة VHDL هي لغة متعددة وعامة تماماً وعلى الرغم من أنها تتطلب برنامج محاكاة لتشغيل كود البرنامج فإنه يمكنها أن تقرأ وتكتب ملفات على كمبيوتر مضيف، إن VHDL تسمح بتوصيف النظام المتزامن (الأجزاء المقدمة بواسطة سلوك عملها مع بعضها البعض بنفس الوقت)وهي غير محببة كثيراً من لغات أخرى كلغة الـ Basic، pascal، c أو لغات التجميع التي تسمع على مستوى شيفرة الآلة بشكل متعاقب تعليمة واحدة كل مرة لكل بنيان.وعندما يترجم نموذج VHDL إلى بوابات وأسلاك التي تكون منظمة على جهاز منطقي قابل للبرمجة مثل مصفوفة البوابات المنطقية القابلة للبرمجة أو CPLD والعتاد الفعلي يحدد من شيفرة VHDL المنفذة كما في بعض أشكال شريحة المعالج. (ar)
  • Η VHDL ( hardware description language ή γλώσσα περιγραφής υλικού VHSIC) είναι μια γλώσσα περιγραφής υλικού που χρησιμοποιείται στον αυτοματισμό ηλεκτρονικών σχεδιάσεων (electronic design automation) για την περιγραφή ψηφιακών και μεικτών (mixed-signal) συστημάτων, όπως οι συστοιχίες επιτόπια προγραμματιζόμενων πυλών (FPGA) και τα ολοκληρωμένα κυκλώματα. (el)
  • Very High Speed Integrated Circuit Hardware Description Language (auch VHSIC Hardware Description Language), kurz VHDL, ist eine Hardwarebeschreibungssprache, mit der es möglich ist, digitale Systeme textbasiert zu beschreiben. VHDL ist seit 1987 als IEEE-Standard festgelegt und es gibt inzwischen einige ebenfalls standardisierte Spracherweiterungen. Darüber hinaus gibt es Sprachderivate wie zum Beispiel VHDL-AMS, mit deren Hilfe auch analoge oder Mixed-Signal-Systeme beschrieben werden können. VHDL ist als Beschreibungssprache keine Programmiersprache; da sie jedoch Objekte beschreibt, deren Aufgabe meist die Informationsverarbeitung ist, kann über deren Simulation dennoch Datenverarbeitung stattfinden, indem für diesen Simulationslauf mitgegebene „Eingangsdaten“ von der (simulierten) Hardware zu „Ergebnisdaten“ verarbeitet werden. Durch diesen Umweg kann VHDL (in Kombination mit einem Simulator) wie eine Programmiersprache Turing-vollständige Datenverarbeitung beschreiben.Durch fortschrittliche Schaltungsgeneratoren ist es mitunter sogar möglich, anstatt des Hardwareaufbaus für einen Algorithmus nur den Algorithmus selbst anzugeben; die dazugehörige Schaltung wird vollautomatisch erzeugt. Dies nähert VHDL einer Programmiersprache weiter an. (de)
  • VHDL akronimoa eta HDL siglak juntatzetik dator. Very High Speed Integrated Circuit esan nahi du eta HDLk Hardware Description Language. Hau da, Oso Abiadura Handiko Zirkuitu Integratuen Hardware Deskribapen Lengoaia litzateke euskaraz. IEEEk araututako (ANSI/IEEE 1076-1993) ingeniariek erabiltzen duten estandarizatua da. Zirkuitu digitalen egiteko balio duen lengoaia. Momentuz, VHDL zirkuitu digitalak deskribatzeko baino ez du balio, beraz ez du zirkuitu analogikoetarako balio. (eu)
  • VHDL es un lenguaje de especificación definido por el IEEE (Institute of Electrical and Electronics Engineers) (ANSI/IEEE 1076-1993) utilizado para describir circuitos digitales y para la automatización de diseño electrónico, a estos lenguajes se les suele llama lenguajes de descripción de hardware. VHDL es acrónimo proveniente de la combinación de dos acrónimos: VHSIC (Very High Speed Integrated Circuit) y HDL (Hardware Description Language). Aunque puede ser usado de forma general para describir cualquier circuito digital se usa principalmente para programar PLD (Programable Logic Device - Dispositivo Lógico Programable), FPGA (Field Programmable Gate Array), ASIC y similares. Originalmente, el lenguaje VHDL fue desarrollado por el departamento de defensa de los Estados Unidos a inicios de los años 80 basado en el lenguaje de programación ADA con el fin de simular circuitos eléctricos digitales. Posteriormente se desarrollaron herramientas de síntesis e implementación en hardware a partir de los archivos VHD. Otros métodos para diseñar circuitos son la captura de esquemas (con herramientas CAD) y los diagramas de bloques, pero estos no son prácticos en diseños complejos. Otros lenguajes para el mismo propósito, pero con un nivel de abstracción superior son Verilog y ABEL. (es)
  • VHDL est un langage de description de matériel destiné à représenter le comportement ainsi que l'architecture d’un système électronique numérique. Son nom complet est VHSIC Hardware Description Language. L'intérêt d'une telle description réside dans son caractère exécutable : une spécification décrite en VHDL peut être vérifiée par simulation, avant que la conception détaillée ne soit terminée. En outre, les outils de conception assistée par ordinateur permettant de passer directement d'une description fonctionnelle en VHDL à un schéma en porte logique ont révolutionné les méthodes de conception des circuits numériques, ASIC ou FPGA. (fr)
  • VHDL ( Hardware Description Language); VHSIC (Very High Speed Integrated Circuit) merupakan salah satu jenis bahasa HDL yang digunakan untuk mendeskripsikan berbagai fungsi rangkaian digital seperti FPGA (Field-programmable Gate Arrays), Gerbang logika, Flip-flop, dan sebagainya. VHDL juga bisa digunakan sebagai bahasa pemrograman untuk simulasi rangkaian dari komponen-komponen digital. HDL (Hardware Description Language) digunakan perancang perangkat keras (hardware) untuk menuliskan sifat, sinyal dan fungsionalitas deskripsi berbasis hardware dari suatu rangkaian. Pendekatan transistor digunakan oleh perancang yang bekerja pada tingkat gerbang ( level) dan transistor. Perancang mengaplikasikan rancangannya dalam software pada tingkat abstraksi yang lebih tinggi. Metodologi ini dipadukan dengan tool sintesis untuk menerjemahkan dan mengoptimalkan deskripsi dari suatu rancangan. Mesin sintesis digunakan untuk memetakan rancangan bagian fisik, seperti application specific integrated circuit (ASIC) atau field programmable gate array (FPGA). Meskipun kemampuan fitur yang terdapat pada pendekatan ini mampu digunakan, tetapi hardware description language (HDL) belum dapat diterima secara luas karena banyak rancangan yang menggunakan ukuran dan kompleksitas rancangan dapat diselesaikan menggunakan masukan skematik dan banyak perancang kurang mengenal HDL. (in)
  • The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates, for design entry, documentation, and verification purposes. Since 1987, VHDL has been standardized by the Institute of Electrical and Electronics Engineers (IEEE) as IEEE Std 1076; the latest version of which is IEEE Std 1076-2019. To model analog and mixed-signal systems, an IEEE-standardized HDL based on VHDL called VHDL-AMS (officially IEEE 1076.1) has been developed. VHDL is named after the United States Department of Defense program that created it, the Very High-Speed Integrated Circuits Program (VHSIC). In the early 1980s, the VHSIC Program sought a new HDL for use in the design of the integrated circuits it aimed to develop. The product of this effort was VHDL Version 7.2, released in 1985. The effort to standardize it as an IEEE standard began in the following year. (en)
  • Il VHDL (acronimo di VHSIC Hardware Description Language, dove "VHSIC" è la sigla di Very High Speed Integrated Circuits), in informatica ed elettronica, è un linguaggio di descrizione dell'hardware nato da un progetto del Dipartimento della difesa statunitense. Il VHDL nasce nel 1987 quando diventa lo standard IEEE 1076 e nel 1993 ne esce una versione aggiornata. È, insieme al Verilog, il linguaggio più usato per la progettazione di sistemi elettronici digitali. È lo strumento fondamentale per la progettazione dei moderni circuiti integrati digitali e le sue applicazioni spaziano dai microprocessori (DSP, acceleratori grafici), comunicazioni (cellulari, TV satellitare), automobili (navigatori, controllo di stabilità) a molte altre. (it)
  • VHDL(VHSIC Hardware Description Language)은 디지털 회로 및 (mixed-signal, 아날로그 신호 포함)를 표현하는 하드웨어 기술 언어이다. FPGA나 집적회로 등의 전자공학 회로를 처리하는 설계 자동화에 사용한다. 주로 디지털 회로 설계에 사용된다. 기존의 심볼에 의한 회로도 작성 대신 언어적 형태로 전자회로의 기능을 표현한다. 아날로그 신호(mixed-signal)는 VHDL-AMS(VHDL Analog and Mixed-Signal Extensions) 로 표현하나, 실제 활용면에서 디지털회로에 많이 적용되어 사용한다. VHDL로 표현된 회로는, 실제 동작하는 기능적 소자로 변환하는 합성(synthesis) 과정을 거치면 동작할 수 있는 회로가 완성된다. 이때 FPGA나 ASIC 등을 위한 환경에 따라 합성된 실제회로의 소자가 달라지기 때문에 칩 설계 시 목적에 맞는 소자가 합성되도록 하는 개발도구가 존재한다. 예를 들어 FPGA를 판매하는 회사는 보통, 회로 입력(심볼 및 VHDL코드)부터 시작해서 VHDL 코드의 합성, 모듈(소자)의 배치 등을 지원하는 도구를 지원한다. (ko)
  • VHDL staat voor (Very High Speed Integrated Circuit) Hardware Description Language. Het is een hardwarebeschrijvingstaal waarmee digitale geïntegreerde schakelingen en programmeerbare logica in EPLDs (EPLD staat voor Erasable Programmable Logic, zoals onder andere CPLD's en FPGA's) kunnen worden beschreven en gemodelleerd. Vaak wordt de beschrijving ingevoerd in IDE-software, zodat naderhand het model van de digitale schakeling gesimuleerd en getest kan worden. Daarna kan overgaan worden naar de daadwerkelijke fysische realisatie van het ontwerp (voor ASIC designs), of in het geval van EPLDs, wordt het ontwerp gecompileerd. Oorspronkelijk is de programmeertaal ontworpen door het Amerikaanse Ministerie van Defensie (Department of Defense), maar is inmiddels uitgegroeid tot een algemeen aanvaarde beschrijving van digitale schakelingen. Verilog is een andere veelgebruikte 'hardware description language' (HDL). De IEEE is de organisatie die standaardisatie van VHDL tot stand brengt (IEEE 1076). Uitgaande van deze VHDL-beschrijving kan een hardwareontwerp gemaakt worden. De taal wordt gebruikt als beschrijving van elektronische chips. VHDL bestaat reeds langer dan de FPGA. Toen de FPGA's verschenen, is het gebruik van VHDL in een stroomversnelling gekomen, omdat de ontwerpcyclus vanaf de beschrijving tot aan de realisatie van een elektronisch circuit enkele grootte-orden sneller geworden is. Bij de beschrijving van een elektronisch circuit met VHDL moet met drie invalshoeken rekening worden gehouden: * elektronisch; * logisch; * hiërarchisch. (nl)
  • VHDLは、デジタル回路設計用の、ハードウェア記述言語(HDL: Hardware Description Language)の一種である。標準化は(現在は)IEEE/IECによる。主として論理回路の設計に、特にFPGAやASICなどの設計で使う。IEEEとIECで同一規格IEEE 1076-2008 VHDL Language Reference Manual/IEC 61691-1-1:2011 Behavioural languages - Part 1-1: VHDL Language Reference Manual を発行している。名前の由来は英語のVHSIC HDLの略で、VHSICは、very high speed integrated circuits(超高速集積回路)である。 (ja)
  • VHDL ou "VHSIC Hardware Description Language" (Linguagem de descrição de hardware VHSIC "Very High Speed Integrated Circuits") é uma linguagem usada para facilitar o design (projeto/concepção) de circuitos digitais em CPLDs, FPGAs e ASICs. (pt)
  • VHDL (ang. Very High Speed Integrated Circuit Hardware Description Language) – język opisu sprzętu używany w komputerowym projektowaniu układów cyfrowych typu FPGA i ASIC. (pl)
  • VHDL (англ. VHSIC (Very high speed integrated circuits) Hardware Description Language) — язык описания аппаратуры интегральных схем. Язык проектирования VHDL является базовым языком при разработке аппаратуры современных вычислительных систем. (ru)
  • VHDL, VHSIC (Very High Speed Integrated Circuit) Hardware Description Language, är ett hårdvarubeskrivande språk, vilket betyder att det liksom Verilog är ett programspråk som används för att beskriva digitala kretsar som sedan kan realiseras i en grindmatris eller ASIC. VHDL lånar många element i sin syntax från Ada. (sv)
  • VHDL (англ. VHSIC (Very high speed integrated circuits) Hardware Description Language) — мова опису апаратури інтегральних схем. Мова проектування VHDL є базовою мовою при розробці апаратури сучасних обчислювальних систем. Мова VHDL створена як засіб опису цифрових систем, однак існує підмножина мови — VHDL AMS (аналогових та змішаних сигналів), що дозволяє описувати як чисто аналогові, так і змішані, цифро-аналогові схеми. (uk)
  • VHDL,全称超高速集成电路硬件描述语言(英語:VHSIC very high-speed hardware description language),在基于複雜可程式邏輯裝置、现场可编程逻辑门阵列和特殊應用積體電路的数字系统设计中有着广泛的应用。 VHDL语言诞生于1983年,1987年被美国国防部和IEEE确定为标准的硬件描述语言。自从IEEE发布了VHDL的第一个标准版本IEEE 1076-1987后,各大EDA公司都先后推出了自己支援VHDL的EDA工具。VHDL在电子设计行业得到了广泛的认同。此后IEEE又先后发布了IEEE 1076-1993和IEEE 1076-2000版本。 (zh)
dbo:influencedBy
dbo:latestReleaseDate
  • 2019-12-23 (xsd:date)
dbo:latestReleaseVersion
  • IEEE 1076-2019
dbo:thumbnail
dbo:wikiPageExternalLink
dbo:wikiPageID
  • 43410 (xsd:integer)
dbo:wikiPageLength
  • 32945 (xsd:nonNegativeInteger)
dbo:wikiPageRevisionID
  • 1117982220 (xsd:integer)
dbo:wikiPageWikiLink
dbp:dialects
dbp:fileExtensions
  • .vhd (en)
dbp:influencedBy
dbp:latestReleaseDate
  • 2019-12-23 (xsd:date)
dbp:latestReleaseVersion
  • IEEE 1076-2019 (en)
dbp:name
  • VHDL (en)
dbp:paradigm
dbp:typing
dbp:website
dbp:wikiPageUsesTemplate
dbp:wikibooks
  • Programmable Logic/VHDL (en)
dbp:wordnet_type
dbp:year
  • 1980.0
dcterms:subject
gold:hypernym
rdf:type
rdfs:comment
  • VHDL ( Hardware Description Language) je v informatice název jazyka, který slouží pro popis hardwaru (HDL – Hardware Description Language). Používá se pro návrh a simulaci digitálních integrovaných obvodů, například programovatelných hradlových polí (CPLD, FPGA) nebo různých zákaznických obvodů (ASIC). Jazyk VHDL může být použit i jako paralelní programovací jazyk. (cs)
  • Η VHDL ( hardware description language ή γλώσσα περιγραφής υλικού VHSIC) είναι μια γλώσσα περιγραφής υλικού που χρησιμοποιείται στον αυτοματισμό ηλεκτρονικών σχεδιάσεων (electronic design automation) για την περιγραφή ψηφιακών και μεικτών (mixed-signal) συστημάτων, όπως οι συστοιχίες επιτόπια προγραμματιζόμενων πυλών (FPGA) και τα ολοκληρωμένα κυκλώματα. (el)
  • VHDL akronimoa eta HDL siglak juntatzetik dator. Very High Speed Integrated Circuit esan nahi du eta HDLk Hardware Description Language. Hau da, Oso Abiadura Handiko Zirkuitu Integratuen Hardware Deskribapen Lengoaia litzateke euskaraz. IEEEk araututako (ANSI/IEEE 1076-1993) ingeniariek erabiltzen duten estandarizatua da. Zirkuitu digitalen egiteko balio duen lengoaia. Momentuz, VHDL zirkuitu digitalak deskribatzeko baino ez du balio, beraz ez du zirkuitu analogikoetarako balio. (eu)
  • VHDL(VHSIC Hardware Description Language)은 디지털 회로 및 (mixed-signal, 아날로그 신호 포함)를 표현하는 하드웨어 기술 언어이다. FPGA나 집적회로 등의 전자공학 회로를 처리하는 설계 자동화에 사용한다. 주로 디지털 회로 설계에 사용된다. 기존의 심볼에 의한 회로도 작성 대신 언어적 형태로 전자회로의 기능을 표현한다. 아날로그 신호(mixed-signal)는 VHDL-AMS(VHDL Analog and Mixed-Signal Extensions) 로 표현하나, 실제 활용면에서 디지털회로에 많이 적용되어 사용한다. VHDL로 표현된 회로는, 실제 동작하는 기능적 소자로 변환하는 합성(synthesis) 과정을 거치면 동작할 수 있는 회로가 완성된다. 이때 FPGA나 ASIC 등을 위한 환경에 따라 합성된 실제회로의 소자가 달라지기 때문에 칩 설계 시 목적에 맞는 소자가 합성되도록 하는 개발도구가 존재한다. 예를 들어 FPGA를 판매하는 회사는 보통, 회로 입력(심볼 및 VHDL코드)부터 시작해서 VHDL 코드의 합성, 모듈(소자)의 배치 등을 지원하는 도구를 지원한다. (ko)
  • VHDLは、デジタル回路設計用の、ハードウェア記述言語(HDL: Hardware Description Language)の一種である。標準化は(現在は)IEEE/IECによる。主として論理回路の設計に、特にFPGAやASICなどの設計で使う。IEEEとIECで同一規格IEEE 1076-2008 VHDL Language Reference Manual/IEC 61691-1-1:2011 Behavioural languages - Part 1-1: VHDL Language Reference Manual を発行している。名前の由来は英語のVHSIC HDLの略で、VHSICは、very high speed integrated circuits(超高速集積回路)である。 (ja)
  • VHDL ou "VHSIC Hardware Description Language" (Linguagem de descrição de hardware VHSIC "Very High Speed Integrated Circuits") é uma linguagem usada para facilitar o design (projeto/concepção) de circuitos digitais em CPLDs, FPGAs e ASICs. (pt)
  • VHDL (ang. Very High Speed Integrated Circuit Hardware Description Language) – język opisu sprzętu używany w komputerowym projektowaniu układów cyfrowych typu FPGA i ASIC. (pl)
  • VHDL (англ. VHSIC (Very high speed integrated circuits) Hardware Description Language) — язык описания аппаратуры интегральных схем. Язык проектирования VHDL является базовым языком при разработке аппаратуры современных вычислительных систем. (ru)
  • VHDL, VHSIC (Very High Speed Integrated Circuit) Hardware Description Language, är ett hårdvarubeskrivande språk, vilket betyder att det liksom Verilog är ett programspråk som används för att beskriva digitala kretsar som sedan kan realiseras i en grindmatris eller ASIC. VHDL lånar många element i sin syntax från Ada. (sv)
  • VHDL (англ. VHSIC (Very high speed integrated circuits) Hardware Description Language) — мова опису апаратури інтегральних схем. Мова проектування VHDL є базовою мовою при розробці апаратури сучасних обчислювальних систем. Мова VHDL створена як засіб опису цифрових систем, однак існує підмножина мови — VHDL AMS (аналогових та змішаних сигналів), що дозволяє описувати як чисто аналогові, так і змішані, цифро-аналогові схеми. (uk)
  • VHDL,全称超高速集成电路硬件描述语言(英語:VHSIC very high-speed hardware description language),在基于複雜可程式邏輯裝置、现场可编程逻辑门阵列和特殊應用積體電路的数字系统设计中有着广泛的应用。 VHDL语言诞生于1983年,1987年被美国国防部和IEEE确定为标准的硬件描述语言。自从IEEE发布了VHDL的第一个标准版本IEEE 1076-1987后,各大EDA公司都先后推出了自己支援VHDL的EDA工具。VHDL在电子设计行业得到了广泛的认同。此后IEEE又先后发布了IEEE 1076-1993和IEEE 1076-2000版本。 (zh)
  • في إتش دي أل أو لغة توصيف العتاد للدارات المتكاملة ذات السرعات المرتفعة جداً (بالإنجليزية: Very High Speed Integreted Circuit Hardware Description Language اختصاراً VHDL)‏ هي لغة برمجة قياسية صممت من قبل وزارة دفاع الولايات المتحدة حيث تستعمل في وصف، وتصميم، ومحاكاة دارات الدوائر الإلكترونية عالية السرعة. أصبحت منذ عام 1987 إحدى جمعية مهندسي الكهرباء والإلكترونيات وتمت مراجعتها في عام 1997. تتيح هذه اللغة توصيف التوازي والترابط بالإضافة للتعبير المفصل والمباشر للزمن وتسمح أيضا بتوصيف الدارات التماثلية لكنها غالبا ما تستخدم في تصميم دارات مصفوفة البوابات المنطقية القابلة للبرمجة. (ar)
  • VHDL és l'acrònim que representa la combinació de VHSIC i HDL, on VHSIC és l'acrònim de «Very High Speed Integrated Circuit», i HDL és al seu torn l'acrònim de «Hardware Description Language». És un llenguatge fet servir per enginyers definit pel Institute of Electrical and Electronics Engineers (IEEE) ANSI/IEEE 1076-1993 que es fa servir per modelar, simular i sintetitzar, és a dir per dissenyar circuits digitals. Altres mètodes per dissenyar circuits són la captura d'esquemes (amb eines tipus CAD) i els diagrames de blocs, però aquest no són pràctics en dissenys complexos. Altres llenguatges pel mateix propòsit poden ser Verilog i ABEL. Tot i que es pot fer servir de forma general per descriure qualsevol circuit es fa servir principalment per dissenyar circuits integrats a molt gran esca (ca)
  • Very High Speed Integrated Circuit Hardware Description Language (auch VHSIC Hardware Description Language), kurz VHDL, ist eine Hardwarebeschreibungssprache, mit der es möglich ist, digitale Systeme textbasiert zu beschreiben. VHDL ist seit 1987 als IEEE-Standard festgelegt und es gibt inzwischen einige ebenfalls standardisierte Spracherweiterungen. Darüber hinaus gibt es Sprachderivate wie zum Beispiel VHDL-AMS, mit deren Hilfe auch analoge oder Mixed-Signal-Systeme beschrieben werden können. (de)
  • VHDL es un lenguaje de especificación definido por el IEEE (Institute of Electrical and Electronics Engineers) (ANSI/IEEE 1076-1993) utilizado para describir circuitos digitales y para la automatización de diseño electrónico, a estos lenguajes se les suele llama lenguajes de descripción de hardware. Otros métodos para diseñar circuitos son la captura de esquemas (con herramientas CAD) y los diagramas de bloques, pero estos no son prácticos en diseños complejos. Otros lenguajes para el mismo propósito, pero con un nivel de abstracción superior son Verilog y ABEL. (es)
  • VHDL est un langage de description de matériel destiné à représenter le comportement ainsi que l'architecture d’un système électronique numérique. Son nom complet est VHSIC Hardware Description Language. (fr)
  • VHDL ( Hardware Description Language); VHSIC (Very High Speed Integrated Circuit) merupakan salah satu jenis bahasa HDL yang digunakan untuk mendeskripsikan berbagai fungsi rangkaian digital seperti FPGA (Field-programmable Gate Arrays), Gerbang logika, Flip-flop, dan sebagainya. VHDL juga bisa digunakan sebagai bahasa pemrograman untuk simulasi rangkaian dari komponen-komponen digital. HDL (Hardware Description Language) digunakan perancang perangkat keras (hardware) untuk menuliskan sifat, sinyal dan fungsionalitas deskripsi berbasis hardware dari suatu rangkaian. Pendekatan transistor digunakan oleh perancang yang bekerja pada tingkat gerbang ( level) dan transistor. Perancang mengaplikasikan rancangannya dalam software pada tingkat abstraksi yang lebih tinggi. Metodologi ini dipadukan (in)
  • The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates, for design entry, documentation, and verification purposes. Since 1987, VHDL has been standardized by the Institute of Electrical and Electronics Engineers (IEEE) as IEEE Std 1076; the latest version of which is IEEE Std 1076-2019. To model analog and mixed-signal systems, an IEEE-standardized HDL based on VHDL called VHDL-AMS (officially IEEE 1076.1) has been developed. (en)
  • Il VHDL (acronimo di VHSIC Hardware Description Language, dove "VHSIC" è la sigla di Very High Speed Integrated Circuits), in informatica ed elettronica, è un linguaggio di descrizione dell'hardware nato da un progetto del Dipartimento della difesa statunitense. Il VHDL nasce nel 1987 quando diventa lo standard IEEE 1076 e nel 1993 ne esce una versione aggiornata. (it)
  • VHDL staat voor (Very High Speed Integrated Circuit) Hardware Description Language. Het is een hardwarebeschrijvingstaal waarmee digitale geïntegreerde schakelingen en programmeerbare logica in EPLDs (EPLD staat voor Erasable Programmable Logic, zoals onder andere CPLD's en FPGA's) kunnen worden beschreven en gemodelleerd. Vaak wordt de beschrijving ingevoerd in IDE-software, zodat naderhand het model van de digitale schakeling gesimuleerd en getest kan worden. Daarna kan overgaan worden naar de daadwerkelijke fysische realisatie van het ontwerp (voor ASIC designs), of in het geval van EPLDs, wordt het ontwerp gecompileerd. (nl)
rdfs:label
  • في إتش دي إل (ar)
  • VHDL (ca)
  • VHDL (cs)
  • Very High Speed Integrated Circuit Hardware Description Language (de)
  • VHDL (el)
  • VHDL (eu)
  • VHDL (es)
  • VHDL (in)
  • VHDL (fr)
  • VHDL (it)
  • VHDL (ko)
  • VHDL (nl)
  • VHDL (ja)
  • VHDL (pl)
  • VHDL (ru)
  • VHDL (pt)
  • VHDL (en)
  • VHDL (sv)
  • VHDL (uk)
  • VHDL (zh)
owl:sameAs
prov:wasDerivedFrom
foaf:depiction
foaf:homepage
foaf:isPrimaryTopicOf
foaf:name
  • VHDL (en)
foaf:page
is dbo:influenced of
is dbo:influencedBy of
is dbo:programmingLanguage of
is dbo:wikiPageRedirects of
is dbo:wikiPageWikiLink of
is dbp:programmingLanguage of
is foaf:primaryTopic of
Powered by OpenLink Virtuoso    This material is Open Knowledge     W3C Semantic Web Technology     This material is Open Knowledge    Valid XHTML + RDFa
This content was extracted from Wikipedia and is licensed under the Creative Commons Attribution-ShareAlike 3.0 Unported License