An Entity of Type: programming language, from Named Graph: http://dbpedia.org, within Data Space: dbpedia.org

In digital circuit design, register-transfer level (RTL) is a design abstraction which models a synchronous digital circuit in terms of the flow of digital signals (data) between hardware registers, and the logical operations performed on those signals. Register-transfer-level abstraction is used in hardware description languages (HDLs) like Verilog and VHDL to create high-level representations of a circuit, from which lower-level representations and ultimately actual wiring can be derived. Design at the RTL level is typical practice in modern digital design.

Property Value
dbo:abstract
  • Die Registertransferebene (englisch Register Transfer Level, RTL) ist eine Abstraktionsebene in der Hardware-Modellierung von integrierten Schaltkreisen. Beim Entwurf auf dieser Ebene wird das System durch den Signalfluss zwischen den Registern spezifiziert. Das RTL wird in Hardwarebeschreibungssprachen wie VHDL und Verilog verwendet, um High-Level-Darstellungen von Schaltkreisen zu erzeugen. Von diesen lassen sich Darstellungen auf niedrigeren Ebenen und schließlich die konkrete Hardware synthetisieren. Mit Software-Tools lässt sich die RTL-Beschreibung in eine Netzliste übersetzen, aus der schließlich durch Placement und Routing ein physikalisches Layout erzeugt wird. Die Synthese, die eine logische Schaltung aus einem RTL-Modell generiert, nennt man RTL-Synthese. (de)
  • Register Transfer Level (RTL) est une méthode de description des architectures microélectroniques. Dans la conception RTL, le comportement d'un circuit est défini en termes d'envois de signaux ou de transferts de données entre registres, et les opérations logiques effectuées sur ces signaux. Le RTL est utilisé dans les langages de description matérielle (HDL) comme Verilog et VHDL pour créer des représentations d'un circuit à haut niveau, à partir duquel les représentations à plus bas niveau et le câblage réel peuvent être dérivés. * Portail des micro et nanotechnologies (fr)
  • In digital circuit design, register-transfer level (RTL) is a design abstraction which models a synchronous digital circuit in terms of the flow of digital signals (data) between hardware registers, and the logical operations performed on those signals. Register-transfer-level abstraction is used in hardware description languages (HDLs) like Verilog and VHDL to create high-level representations of a circuit, from which lower-level representations and ultimately actual wiring can be derived. Design at the RTL level is typical practice in modern digital design. Unlike in software compiler design, where the register-transfer level is an intermediate representation and at the lowest level, the RTL level is the usual input that circuit designers operate on. In fact, in circuit synthesis, an intermediate language between the input register transfer level representation and the target netlist is sometimes used. Unlike in netlist, constructs such as cells, functions, and multi-bit registers are available. Examples include FIRRTL and RTLIL. Transaction-level modeling is a higher level of electronic system design. (en)
  • Il register transfer level (RTL) è, in elettronica, un modo di descrivere il funzionamento di un circuito digitale. Nella descrizione RTL, il comportamento di un circuito è definito in termini di segnali, di elementi di memoria dei segnali (generici registri), e di operazioni logiche tra questi segnali. L'RTL è usato per creare descrizioni di alto livello di un circuito, partendo dai linguaggi di descrizione hardware, come il Verilog e il VHDL; dalle descrizioni in RTL si possono poi derivare rappresentazioni di basso livello che servono per la realizzazione fisica finale. (it)
  • レジスタ転送レベル(レジスタてんそうレベル、英: register transfer level、RTL)は、論理回路の動作記述などにおいて、「ゲートレベル」よりも一段抽象的な記述レベルである。ゲートレベルでは、組合せ論理回路の(すなわち、状態を持たない)ゲートのネットリストを記述するが、レジスタ転送レベルでは、状態を持つラッチ回路など順序回路に相当する最小の部分を「レジスタ」として抽象化(ブラックボックス化)する。その上で、論理回路の動作を、レジスタからレジスタへの転送と、(その転送中に組合せ論理回路を通すことで行われる)論理演算の組み合わせとして記述する。 (ja)
  • Разработка синхронных цифровых интегральных схем на уровне передач данных между регистрами (англ. register transfer level, RTL — уровень регистровых передач) — способ разработки (англ.) цифровых интегральных схем, при применении которого работа схемы описывается в виде последовательностей логических операций, применяемых к цифровым сигналам (данным) при их передаче от одного регистра к другому (не описывается, из каких электронных компонентов или из каких логических вентилей состоит схема). Такое высокоуровневое описание составляется на одном из языков описания аппаратуры (англ. hardware description language, HDL), например, на языке Verilog или на языке VHDL, после чего специальной программой преобразуется в низкоуровневое описание — граф логических элементов и проводников; процесс преобразования называется логическим синтезом (англ. logic synthesis) или синтезом логики. Другие программы по описанию могут проверить правильность работы схемы (см. logic simulation) и соответствие схемы предъявляемым требованиям (например, требованиям к скорости работы схемы (англ. static timing analysis)). Третья программа, используя низкоуровневое описание, может разместить логические элементы и проводники по поверхности схемы (для изготовления СБИС) (англ. place and route) или создать прошивку для ПЛИС. (ru)
  • Nos projetos de circuitos integrados, a descrição da operação de um circuito síncrono digital recebe o nome de RTL (do inglês Register Transfer Level).Em um projeto RTL, o comportamento do circuito é descrito em termos do fluxo de sinais (ou transferência de dados entre os registradores presentes no hardware e as operações lógicas conduzidas com estes sinais).A abstração RTL é usada em linguagens de descrição de hardware como Verilog e VHDL na representação do circuito de baixo nível do qual derivam-se as conexões do hardware. A descrição RTL é usualmente convertida para a descrição de circuitos em gate-level por uma ferramenta de síntese lógica. Esta síntese resultante representa a descrição física do circuito. Ferramentas de simulação lógica podem utilizar a descrição RTL para verificar sua funcionalidade. Se existe um caminho lógico cíclico da saída de um registrador para a sua entrada (ou da saída de um conjunto de registradores para suas entradas), este circuito é chamado máquina de estados. Se existem caminhos lógicos de um registrador para outro, que operam sem a necessídade de ciclos de relógio, estes blocos são chamados pipelines. (pt)
  • 在数位电路设计中,寄存器传输级(英語:register-transfer level, RTL)是一种对同步数位电路的抽象模型,这种模型是根据数字信号在硬件寄存器、存储器、组合逻辑装置和总线等逻辑单元之间的流动,以及其逻辑代数运作方式来确定的。 寄存器传输级抽象模型在诸如Verilog和VHDL的硬件描述语言中被用于创建对实际电路的高层次描述,而低层次描述甚至实际电路可以通过高层次描述导出。在现代的数位设计中,寄存器传输级上的设计是最典型的工作流程。逻辑合成工具可以根据寄存器传输级的描述构建更低级别的电路描述。 (zh)
  • У Проєктуванні цифрових мікросхем, рівень передачі регістрів (англ. register-transfer level, RTL) це абстрація проєктування, за допомогою якої моделюють цифрові мікросхеми з точки зору потоку цифрових сигналів (даних) між апаратними регістрами, і логічних операцій, що виконуються над цими сигналами. Рівень передачі регістрів як абстракція використовується в мовах опису апаратури (англ. hardware description language, HDL) до яких відносяться Verilog та VHDL для створення високорівневого представлення схеми, з якого можна отримати низькорівневе представлення та в остаточному підсумку фактична схема з'єднань. Проєктування на RTL рівні є типовою практикою при розробці сучасних цифрових мікросхем. (uk)
dbo:thumbnail
dbo:wikiPageID
  • 963084 (xsd:integer)
dbo:wikiPageLength
  • 16014 (xsd:nonNegativeInteger)
dbo:wikiPageRevisionID
  • 1088743222 (xsd:integer)
dbo:wikiPageWikiLink
dbp:wikiPageUsesTemplate
dcterms:subject
gold:hypernym
rdf:type
rdfs:comment
  • Register Transfer Level (RTL) est une méthode de description des architectures microélectroniques. Dans la conception RTL, le comportement d'un circuit est défini en termes d'envois de signaux ou de transferts de données entre registres, et les opérations logiques effectuées sur ces signaux. Le RTL est utilisé dans les langages de description matérielle (HDL) comme Verilog et VHDL pour créer des représentations d'un circuit à haut niveau, à partir duquel les représentations à plus bas niveau et le câblage réel peuvent être dérivés. * Portail des micro et nanotechnologies (fr)
  • Il register transfer level (RTL) è, in elettronica, un modo di descrivere il funzionamento di un circuito digitale. Nella descrizione RTL, il comportamento di un circuito è definito in termini di segnali, di elementi di memoria dei segnali (generici registri), e di operazioni logiche tra questi segnali. L'RTL è usato per creare descrizioni di alto livello di un circuito, partendo dai linguaggi di descrizione hardware, come il Verilog e il VHDL; dalle descrizioni in RTL si possono poi derivare rappresentazioni di basso livello che servono per la realizzazione fisica finale. (it)
  • レジスタ転送レベル(レジスタてんそうレベル、英: register transfer level、RTL)は、論理回路の動作記述などにおいて、「ゲートレベル」よりも一段抽象的な記述レベルである。ゲートレベルでは、組合せ論理回路の(すなわち、状態を持たない)ゲートのネットリストを記述するが、レジスタ転送レベルでは、状態を持つラッチ回路など順序回路に相当する最小の部分を「レジスタ」として抽象化(ブラックボックス化)する。その上で、論理回路の動作を、レジスタからレジスタへの転送と、(その転送中に組合せ論理回路を通すことで行われる)論理演算の組み合わせとして記述する。 (ja)
  • 在数位电路设计中,寄存器传输级(英語:register-transfer level, RTL)是一种对同步数位电路的抽象模型,这种模型是根据数字信号在硬件寄存器、存储器、组合逻辑装置和总线等逻辑单元之间的流动,以及其逻辑代数运作方式来确定的。 寄存器传输级抽象模型在诸如Verilog和VHDL的硬件描述语言中被用于创建对实际电路的高层次描述,而低层次描述甚至实际电路可以通过高层次描述导出。在现代的数位设计中,寄存器传输级上的设计是最典型的工作流程。逻辑合成工具可以根据寄存器传输级的描述构建更低级别的电路描述。 (zh)
  • Die Registertransferebene (englisch Register Transfer Level, RTL) ist eine Abstraktionsebene in der Hardware-Modellierung von integrierten Schaltkreisen. Beim Entwurf auf dieser Ebene wird das System durch den Signalfluss zwischen den Registern spezifiziert. Das RTL wird in Hardwarebeschreibungssprachen wie VHDL und Verilog verwendet, um High-Level-Darstellungen von Schaltkreisen zu erzeugen. Von diesen lassen sich Darstellungen auf niedrigeren Ebenen und schließlich die konkrete Hardware synthetisieren. (de)
  • In digital circuit design, register-transfer level (RTL) is a design abstraction which models a synchronous digital circuit in terms of the flow of digital signals (data) between hardware registers, and the logical operations performed on those signals. Register-transfer-level abstraction is used in hardware description languages (HDLs) like Verilog and VHDL to create high-level representations of a circuit, from which lower-level representations and ultimately actual wiring can be derived. Design at the RTL level is typical practice in modern digital design. (en)
  • Nos projetos de circuitos integrados, a descrição da operação de um circuito síncrono digital recebe o nome de RTL (do inglês Register Transfer Level).Em um projeto RTL, o comportamento do circuito é descrito em termos do fluxo de sinais (ou transferência de dados entre os registradores presentes no hardware e as operações lógicas conduzidas com estes sinais).A abstração RTL é usada em linguagens de descrição de hardware como Verilog e VHDL na representação do circuito de baixo nível do qual derivam-se as conexões do hardware. (pt)
  • Разработка синхронных цифровых интегральных схем на уровне передач данных между регистрами (англ. register transfer level, RTL — уровень регистровых передач) — способ разработки (англ.) цифровых интегральных схем, при применении которого работа схемы описывается в виде последовательностей логических операций, применяемых к цифровым сигналам (данным) при их передаче от одного регистра к другому (не описывается, из каких электронных компонентов или из каких логических вентилей состоит схема). Такое высокоуровневое описание составляется на одном из языков описания аппаратуры (англ. hardware description language, HDL), например, на языке Verilog или на языке VHDL, после чего специальной программой преобразуется в низкоуровневое описание — граф логических элементов и проводников; процесс преоб (ru)
  • У Проєктуванні цифрових мікросхем, рівень передачі регістрів (англ. register-transfer level, RTL) це абстрація проєктування, за допомогою якої моделюють цифрові мікросхеми з точки зору потоку цифрових сигналів (даних) між апаратними регістрами, і логічних операцій, що виконуються над цими сигналами. (uk)
rdfs:label
  • Registertransferebene (de)
  • Register Transfer Level (fr)
  • Register transfer level (it)
  • レジスタ転送レベル (ja)
  • Register-transfer level (en)
  • Register transfer level (pt)
  • Уровень регистровых передач (ru)
  • Рівень передачі регістрів (uk)
  • 寄存器传输级 (zh)
owl:differentFrom
owl:sameAs
prov:wasDerivedFrom
foaf:depiction
foaf:isPrimaryTopicOf
is dbo:wikiPageDisambiguates of
is dbo:wikiPageRedirects of
is dbo:wikiPageWikiLink of
is owl:differentFrom of
is foaf:primaryTopic of
Powered by OpenLink Virtuoso    This material is Open Knowledge     W3C Semantic Web Technology     This material is Open Knowledge    Valid XHTML + RDFa
This content was extracted from Wikipedia and is licensed under the Creative Commons Attribution-ShareAlike 3.0 Unported License