About: 65 nm process

An Entity of Type: Thing, from Named Graph: http://dbpedia.org, within Data Space: dbpedia.org

The 65 nm process is an advanced lithographic node used in volume CMOS (MOSFET) semiconductor fabrication. Printed linewidths (i.e. transistor gate lengths) can reach as low as 25 nm on a nominally 65 nm process, while the pitch between two lines may be greater than 130 nm. For comparison, cellular ribosomes are about 20 nm end-to-end. A crystal of bulk silicon has a lattice constant of 0.543 nm, so such transistors are on the order of 100 atoms across. Toshiba and Sony announced the 65 nm process in 2002, before Fujitsu and Toshiba began production in 2004, and then TSMC began production in 2005. By September 2007, Intel, AMD, IBM, UMC and Chartered were also producing 65 nm chips.

Property Value
dbo:abstract
  • 65 nanòmetres (65 nm) és una tecnologia de fabricació de semiconductors en què els components tenen una dimensió de 65 nm. És una millora de la tecnologia de 90 nm. La llei de Moore diu que la superfície és redueix a la meitat cada 2 anys, per tant el costat del quadrat de la nova tecnologia serà de . Sabent que els àtoms de silici tenen una distància entre ells de 0,543 nm, llavors el transistor té de l'ordre de 100 àtoms de largada. (ca)
  • The 65 nm process is an advanced lithographic node used in volume CMOS (MOSFET) semiconductor fabrication. Printed linewidths (i.e. transistor gate lengths) can reach as low as 25 nm on a nominally 65 nm process, while the pitch between two lines may be greater than 130 nm. For comparison, cellular ribosomes are about 20 nm end-to-end. A crystal of bulk silicon has a lattice constant of 0.543 nm, so such transistors are on the order of 100 atoms across. Toshiba and Sony announced the 65 nm process in 2002, before Fujitsu and Toshiba began production in 2004, and then TSMC began production in 2005. By September 2007, Intel, AMD, IBM, UMC and Chartered were also producing 65 nm chips. While feature sizes may be drawn as 65 nm or less, the wavelengths of light used for lithography are 193 nm and 248 nm. Fabrication of sub-wavelength features requires special imaging technologies, such as optical proximity correction and phase-shifting masks. The cost of these techniques adds substantially to the cost of manufacturing sub-wavelength semiconductor products, with the cost increasing exponentially with each advancing technology node. Furthermore, these costs are multiplied by an increasing number of mask layers that must be printed at the minimum pitch, and the reduction in yield from printing so many layers at the cutting edge of the technology. For new integrated-circuit designs, this factors into the costs of prototyping and production. Gate thickness, another important dimension, is reduced to as little as 1.2 nm (Intel). Only a few atoms insulate the "switch" part of the transistor, causing charge to flow through it. This undesired effect, leakage, is caused by quantum tunneling. The new chemistry of high-κ gate dielectrics must be combined with existing techniques, including substrate bias and multiple threshold voltages, to prevent leakage from prohibitively consuming power. IEDM papers from Intel in 2002, 2004, and 2005 illustrate the industry trend that the transistor sizes can no longer scale along with the rest of the feature dimensions (gate width only changed from 220 nm to 210 nm going from 90 nm to 65 nm technologies). However, the interconnects (metal and poly pitch) continue to shrink, thus reducing chip area and chip cost, as well as shortening the distance between transistors, leading to higher-performance devices of greater complexity when compared with earlier nodes. (en)
  • 65 nanómetros (65nm) es la tecnología de fabricación de semiconductores, en la que los componentes están fabricados en 65 milmillonésimas partes de un metro.​ (es)
  • 65 nm désigne le procédé de fabrication des semi-conducteurs qui succède au procédé 90 nm de fabrication par CMOS. Les premiers processeurs possédant cette technologie sont apparus sur le marché en 2006. Les processeurs Xenon de la génération "Falcon" sont gravés en technologie 65 nm, ainsi que les POWER6 et les Itanium 4 cores sortis en 2008. C'est également avec cette finesse qu'étaient gravés les CPU et GPU de certains modèles de PlayStation 3. Selon la feuille de route de l'ITRS, le successeur du 65 nm est la technologie 45 nm. (fr)
  • Il processo costruttivo a 65 nm (65 nanometri) è l'evoluzione del processo a 90 nm utilizzato per i microprocessori Intel e AMD ed utilizzato attualmente da entrambi i produttori per le proprie linee di CPU. Il termine "65 nm" indica il nodo tecnologico del processo produttivo (definito come la metà della distanza fra celle vicine in un chip contenente memoria DRAM) e non la lunghezza di gate dei transistor (che nei dispositivi prodotti da Intel in questa tecnologia è pari a 35nm). Per avere un'idea di cosa voglia dire 65 nm basti considerare che il virus dell'HIV è grande circa 120 nm, un globulo rosso umano circa 6000-8000 nm e un capello quasi 80000 nm. Lo sviluppo della miniaturizzatione dei processori porta numerosi vantaggi, come la diminuzione dei consumi, l'aumento delle velocità di calcolo e il minor costo produttivo per singolo processore. Intel ha affermato che il processo produttivo a 65 nm, se confrontato con il precedente a 90 nm, permette transistor del 20% più veloci richiedendo il 30% di energia in meno. D'altra parte, la miniaturizzazione comporta anche numerose problematiche. Ad esempio, a 65 nm, lo spessore dello strato isolante sopra un transistor è estremamente ridotto. Questo causa un fenomeno di , ovvero alcuni elettroni "saltano" dall'altra parte dell'isolamento. In inglese tale fenomeno viene denominato "leakage". Le conseguenze di questo fenomeno sono un aumento del consumo elettrico totale del processore e, di conseguenza, un aumento di temperatura. Intel ha dovuto far fronte a questo problema già con il Pentium 4 Prescott, costruito a 90 nm, che scatenò subito diverse polemiche legate appunto al suo alto "leakage", e quindi all'enorme consumo. Tale problema dovrebbe risolversi con il futuro utilizzo di una nuova versione della tecnologia e un nuovo strato isolante a bassa costante K (Low K dielectric). Il primo processore a 65 nm è stato Yonah della Intel, ossia la prima generazione della nuova CPU mobile Core Duo, successore del Pentium M Dothan. La tecnologia è stata utilizzata da Intel per i suoi processori usciti nel 2006 e nel 2007, per essere poi progressivamente abbandonata a favore del processo produttivo a 45 nm introdotto fine 2007. (it)
  • 65 nm(나노미터) 공정은 회로선 폭이 65 nm인 반도체를 다루는 CMOS 공정 기술 수준이다. 2007년 9월 경 인텔, AMD, IBM, 유나이티드 마이크로일렉트로닉스, , TSMC와 같은 반도체 기업들이 달성하였다. (ko)
  • 65 nanômetros (nm 65) é um processo avançado de litografia em nó, que é usado em volume na fabricação de semicondutores CMOS. O valor do comprimento de um transistor(isso é entre transistor - gate) pode chegar a um valor tão baixo quanto 25 nm em um processo de 65 nm, nominalmente, enquanto o passo entre duas linhas pode ser maior que 130 nm.[2] Para comparação, os ribossomos celulares possuem cerca de 20 nm ponta-a-ponta. Um cristal de silício a granel tem uma estrutura constante de 0,543 nm. Em setembro de 2007 Intel, AMD, IBM, UMC, Chartered e TSMC começaram a produção de chips de 65 nm. (pt)
  • 65纳米制程是半导体制程的一个技術水平。至2007年,英特尔、AMD、IBM、聯華電子、和台积电等公司已有能力进行65纳米制程的量產。 当制程进入65纳米之时,用于进行光刻的光的波长是193纳米和248纳米。具有低于光波波长的制造厂要求使用一些特殊技术,比如光学邻近校正和相位移掩膜板技术。此外,12英寸晶圓在此制程開始成为主流。 (zh)
dbo:wikiPageExternalLink
dbo:wikiPageID
  • 1819403 (xsd:integer)
dbo:wikiPageLength
  • 8595 (xsd:nonNegativeInteger)
dbo:wikiPageRevisionID
  • 1088669888 (xsd:integer)
dbo:wikiPageWikiLink
dbp:list
dbp:next
  • 45.0
dbp:prev
  • 90.0
dbp:wikiPageUsesTemplate
dcterms:subject
rdfs:comment
  • 65 nanòmetres (65 nm) és una tecnologia de fabricació de semiconductors en què els components tenen una dimensió de 65 nm. És una millora de la tecnologia de 90 nm. La llei de Moore diu que la superfície és redueix a la meitat cada 2 anys, per tant el costat del quadrat de la nova tecnologia serà de . Sabent que els àtoms de silici tenen una distància entre ells de 0,543 nm, llavors el transistor té de l'ordre de 100 àtoms de largada. (ca)
  • 65 nanómetros (65nm) es la tecnología de fabricación de semiconductores, en la que los componentes están fabricados en 65 milmillonésimas partes de un metro.​ (es)
  • 65 nm désigne le procédé de fabrication des semi-conducteurs qui succède au procédé 90 nm de fabrication par CMOS. Les premiers processeurs possédant cette technologie sont apparus sur le marché en 2006. Les processeurs Xenon de la génération "Falcon" sont gravés en technologie 65 nm, ainsi que les POWER6 et les Itanium 4 cores sortis en 2008. C'est également avec cette finesse qu'étaient gravés les CPU et GPU de certains modèles de PlayStation 3. Selon la feuille de route de l'ITRS, le successeur du 65 nm est la technologie 45 nm. (fr)
  • 65 nm(나노미터) 공정은 회로선 폭이 65 nm인 반도체를 다루는 CMOS 공정 기술 수준이다. 2007년 9월 경 인텔, AMD, IBM, 유나이티드 마이크로일렉트로닉스, , TSMC와 같은 반도체 기업들이 달성하였다. (ko)
  • 65 nanômetros (nm 65) é um processo avançado de litografia em nó, que é usado em volume na fabricação de semicondutores CMOS. O valor do comprimento de um transistor(isso é entre transistor - gate) pode chegar a um valor tão baixo quanto 25 nm em um processo de 65 nm, nominalmente, enquanto o passo entre duas linhas pode ser maior que 130 nm.[2] Para comparação, os ribossomos celulares possuem cerca de 20 nm ponta-a-ponta. Um cristal de silício a granel tem uma estrutura constante de 0,543 nm. Em setembro de 2007 Intel, AMD, IBM, UMC, Chartered e TSMC começaram a produção de chips de 65 nm. (pt)
  • 65纳米制程是半导体制程的一个技術水平。至2007年,英特尔、AMD、IBM、聯華電子、和台积电等公司已有能力进行65纳米制程的量產。 当制程进入65纳米之时,用于进行光刻的光的波长是193纳米和248纳米。具有低于光波波长的制造厂要求使用一些特殊技术,比如光学邻近校正和相位移掩膜板技术。此外,12英寸晶圓在此制程開始成为主流。 (zh)
  • The 65 nm process is an advanced lithographic node used in volume CMOS (MOSFET) semiconductor fabrication. Printed linewidths (i.e. transistor gate lengths) can reach as low as 25 nm on a nominally 65 nm process, while the pitch between two lines may be greater than 130 nm. For comparison, cellular ribosomes are about 20 nm end-to-end. A crystal of bulk silicon has a lattice constant of 0.543 nm, so such transistors are on the order of 100 atoms across. Toshiba and Sony announced the 65 nm process in 2002, before Fujitsu and Toshiba began production in 2004, and then TSMC began production in 2005. By September 2007, Intel, AMD, IBM, UMC and Chartered were also producing 65 nm chips. (en)
  • Il processo costruttivo a 65 nm (65 nanometri) è l'evoluzione del processo a 90 nm utilizzato per i microprocessori Intel e AMD ed utilizzato attualmente da entrambi i produttori per le proprie linee di CPU. Il termine "65 nm" indica il nodo tecnologico del processo produttivo (definito come la metà della distanza fra celle vicine in un chip contenente memoria DRAM) e non la lunghezza di gate dei transistor (che nei dispositivi prodotti da Intel in questa tecnologia è pari a 35nm). Per avere un'idea di cosa voglia dire 65 nm basti considerare che il virus dell'HIV è grande circa 120 nm, un globulo rosso umano circa 6000-8000 nm e un capello quasi 80000 nm. (it)
rdfs:label
  • 65 nanòmetres (ca)
  • 65 nm process (en)
  • 65 nanómetros (es)
  • 65 nm (fr)
  • 65 nm (it)
  • 65 nm 공정 (ko)
  • 65 nanômetros (pt)
  • 65纳米制程 (zh)
owl:sameAs
prov:wasDerivedFrom
foaf:isPrimaryTopicOf
is dbo:wikiPageRedirects of
is dbo:wikiPageWikiLink of
is foaf:primaryTopic of
Powered by OpenLink Virtuoso    This material is Open Knowledge     W3C Semantic Web Technology     This material is Open Knowledge    Valid XHTML + RDFa
This content was extracted from Wikipedia and is licensed under the Creative Commons Attribution-ShareAlike 3.0 Unported License