About: Icarus Verilog     Goto   Sponge   NotDistinct   Permalink

An Entity of Type : yago:Software106566077, within Data Space : dbpedia.org associated with source document(s)
QRcode icon
http://dbpedia.org/describe/?url=http%3A%2F%2Fdbpedia.org%2Fresource%2FIcarus_Verilog

Icarus Verilog is an implementation of the Verilog hardware description language compiler that generates netlists in the desired format (EDIF). It supports the 1995, 2001 and 2005 versions of the standard, portions of SystemVerilog, and some extensions. Icarus Verilog is available for Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X. Released under the GNU General Public License, Icarus Verilog is free software.

AttributesValues
rdf:type
rdfs:label
  • Icarus Verilog (en)
  • Icarus Verilog (ja)
  • Icarus Verilog (ru)
  • Icarus Verilog (zh)
  • Icarus Verilog (uk)
rdfs:comment
  • Icarus Verilog(イカルス・ヴェリログ) はオープンソースの Verilog シミュレータ。Verilog 1995, 2001, SystemVerilog 2005 をサポートしている。 対応OSはLinux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, と Mac OS X。GNU General Public Licenseでリリースされている。 プラグインサポートのある Verilog コンパイラとプリプロセッサ、それを実行するバーチャルマシンから構成されている。 (ja)
  • Icarus Verilog是Verilog硬件描述语言的实现工具之一。它支持Verilog对应的的IEEE 1995、IEEE 2001和IEEE 2005三个不同的版本,并对SystemVerilog的部分内容提供支持。 Icarus Verilog可以配置在Linux、FreeBSD、OpenSolaris、AIX、Microsoft Windows以及OS X环境中。该软件以GNU通用公共许可协议发布,是一个自由软件。 截止到0.9版,该工具提供了Verilog编译器(包含一个Verilog预处理器),并支持可插入后端(plug-in backend),并通过一个虚拟机来对设计进行仿真。 (zh)
  • Icarus Verilog is an implementation of the Verilog hardware description language compiler that generates netlists in the desired format (EDIF). It supports the 1995, 2001 and 2005 versions of the standard, portions of SystemVerilog, and some extensions. Icarus Verilog is available for Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X. Released under the GNU General Public License, Icarus Verilog is free software. (en)
  • Icarus Verilog — компилятор языка описания аппаратуры Verilog. Он поддерживает версии 1995, 2001 и 2005, частично SystemVerilog и некоторые расширения. Используется для симуляции и верификации проектов. Кроме того, в версиях с 0.2 по 0.8 мог использоваться для синтеза (в формат XNF), для ПЛИС Xilinx. Icarus Verilog доступен для OpenSolaris x86, FreeBSD, Linux, AIX, Windows и Mac OS X. Выпускается под лицензией GNU General Public License. В 2004 году являлся одним из 10 наиболее популярных симуляторов для Verilog. (ru)
  • Icarus Verilog — компілятор мови опису апаратури Verilog, призначений для симуляції та веріфікації. Програма має можливість підключення модулів розширення симуляції та кодогенерації. Симуляція виконується віртуальною машиною. Результати симуляції записуються у стандартному форматі (англ. Value Change Dump — дамп зміни значень), для перегляду результатів симуляції у вигляді графіків сигналів необхідна відповідна програма, наприклад, GTKWave. Програма є вільною й розповсюджується під ліцензією GPL, частина файлів коду програми має ліцензію MIT (uk)
foaf:name
  • Icarus Verilog (en)
foaf:homepage
name
  • Icarus Verilog (en)
foaf:depiction
  • http://commons.wikimedia.org/wiki/Special:FilePath/Icarus_Verilog_logo2.png
dcterms:subject
Wikipage page ID
Wikipage revision ID
Link from a Wikipage to another Wikipage
Link from a Wikipage to an external page
sameAs
dbp:wikiPageUsesTemplate
thumbnail
developer
  • Stephen Williams (en)
genre
language
  • English (en)
latest release date
latest release version
license
logo
  • Icarus Verilog logo2.png (en)
logo size
operating system
  • Mac OS X
  • (en)
  • Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and (en)
platform
programming language
  • C++ (en)
website
has abstract
  • Icarus Verilog is an implementation of the Verilog hardware description language compiler that generates netlists in the desired format (EDIF). It supports the 1995, 2001 and 2005 versions of the standard, portions of SystemVerilog, and some extensions. Icarus Verilog is available for Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X. Released under the GNU General Public License, Icarus Verilog is free software. As of release 0.9, Icarus is composed of a Verilog compiler (including a Verilog preprocessor) with support for plug-in backends, and a virtual machine that simulates the design. Release v10.0, besides general improvements and bug fixes, added preliminary support for VHDL, but the VHDL support has been abandoned as of 2018. (en)
  • Icarus Verilog(イカルス・ヴェリログ) はオープンソースの Verilog シミュレータ。Verilog 1995, 2001, SystemVerilog 2005 をサポートしている。 対応OSはLinux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, と Mac OS X。GNU General Public Licenseでリリースされている。 プラグインサポートのある Verilog コンパイラとプリプロセッサ、それを実行するバーチャルマシンから構成されている。 (ja)
  • Icarus Verilog — компілятор мови опису апаратури Verilog, призначений для симуляції та веріфікації. Програма має можливість підключення модулів розширення симуляції та кодогенерації. Симуляція виконується віртуальною машиною. Результати симуляції записуються у стандартному форматі (англ. Value Change Dump — дамп зміни значень), для перегляду результатів симуляції у вигляді графіків сигналів необхідна відповідна програма, наприклад, GTKWave. Програма є вільною й розповсюджується під ліцензією GPL, частина файлів коду програми має ліцензію MIT Icarus Verilog працює в операційних системах GNU/Linux, Windows, FreeBSD, Mac OS X та OpenSolaris. (uk)
  • Icarus Verilog — компилятор языка описания аппаратуры Verilog. Он поддерживает версии 1995, 2001 и 2005, частично SystemVerilog и некоторые расширения. Используется для симуляции и верификации проектов. Кроме того, в версиях с 0.2 по 0.8 мог использоваться для синтеза (в формат XNF), для ПЛИС Xilinx. Icarus Verilog доступен для OpenSolaris x86, FreeBSD, Linux, AIX, Windows и Mac OS X. Выпускается под лицензией GNU General Public License. Версии Icarus 0.9 включает iverilog — компилятор Verilog с препроцессором и плагинами и виртуальную машину vvp для симуляции.vvp поддерживает интерфейс Verilog VPI (Verilog PLI 2.0) для интеграции с программами на языке Си. В 2004 году являлся одним из 10 наиболее популярных симуляторов для Verilog. (ru)
  • Icarus Verilog是Verilog硬件描述语言的实现工具之一。它支持Verilog对应的的IEEE 1995、IEEE 2001和IEEE 2005三个不同的版本,并对SystemVerilog的部分内容提供支持。 Icarus Verilog可以配置在Linux、FreeBSD、OpenSolaris、AIX、Microsoft Windows以及OS X环境中。该软件以GNU通用公共许可协议发布,是一个自由软件。 截止到0.9版,该工具提供了Verilog编译器(包含一个Verilog预处理器),并支持可插入后端(plug-in backend),并通过一个虚拟机来对设计进行仿真。 (zh)
gold:hypernym
dbp:wordnet_type
prov:wasDerivedFrom
page length (characters) of wiki page
latest release date
latest release version
  • 11.0
Faceted Search & Find service v1.17_git139 as of Feb 29 2024


Alternative Linked Data Documents: ODE     Content Formats:   [cxml] [csv]     RDF   [text] [turtle] [ld+json] [rdf+json] [rdf+xml]     ODATA   [atom+xml] [odata+json]     Microdata   [microdata+json] [html]    About   
This material is Open Knowledge   W3C Semantic Web Technology [RDF Data] Valid XHTML + RDFa
OpenLink Virtuoso version 08.03.3331 as of Sep 2 2024, on Linux (x86_64-generic-linux-glibc212), Single-Server Edition (61 GB total memory, 46 GB memory in use)
Data on this page belongs to its respective rights holders.
Virtuoso Faceted Browser Copyright © 2009-2024 OpenLink Software