@prefix rdf: . @prefix dbr: . @prefix yago: . dbr:System_on_a_chip rdf:type yago:Microprocessor103760310 , yago:WikicatIntegratedCircuits , yago:Whole100003553 , yago:ElectricalDevice103269401 , yago:ComputerCircuit103084420 , yago:SemiconductorDevice104171831 , yago:Circuit103033362 , yago:IntegratedCircuit103577090 , yago:Object100002684 , yago:Chip103020034 . @prefix owl: . dbr:System_on_a_chip rdf:type owl:Thing , yago:WikicatElectronicCircuits , yago:PhysicalEntity100001930 , yago:Conductor103088707 , yago:Device103183080 . @prefix dbo: . dbr:System_on_a_chip rdf:type dbo:BaseballLeague , yago:Artifact100021939 , yago:Instrumentality103575240 , yago:WikicatMicroprocessors . @prefix rdfs: . dbr:System_on_a_chip rdfs:label "System-on-a-chip"@pt , "System-on-chip"@eo , "System-on-a-chip"@sv , "Syst\u00E9m na \u010Dipu"@cs , "System-on-a-Chip"@de , "System-on-a-chip"@nl , "System on chip"@pl , "\u5355\u7247\u7CFB\u7EDF"@zh , "System-on-a-chip"@it , "System on a chip"@es , "\u0646\u0638\u0627\u0645 \u0639\u0644\u0649 \u0631\u0642\u0627\u0642\u0629"@ar , "SoC"@ca , "\u0421\u0438\u0441\u0442\u0435\u043C\u0430 \u043D\u0430 \u043A\u0440\u0438\u0441\u0442\u0430\u043B\u043B\u0435"@ru , "Syst\u00E8me sur une puce"@fr , "\u0421\u0438\u0441\u0442\u0435\u043C\u0430 \u043D\u0430 \u043A\u0440\u0438\u0441\u0442\u0430\u043B\u0456"@uk , "Sistem dalam keping"@in , "System-on-a-chip"@ja , "Sistem pada sebuah chip"@in , "\uB2E8\uC77C \uCE69 \uCCB4\uC81C"@ko , "System on a chip"@en ; rdfs:comment "Syst\u00E9m na \u010Dipu (anglicky system on chip, zkratkou SoC) je integrovan\u00FD obvod, kter\u00FD zahrnuje v\u0161echny sou\u010D\u00E1sti po\u010D\u00EDta\u010De nebo jin\u00E9ho elektronick\u00E9ho syst\u00E9mu do jedin\u00E9ho \u010Dipu. M\u016F\u017Ee zahrnovat digit\u00E1ln\u00ED, analogov\u00E9 a sm\u00ED\u0161en\u00E9 obvody, a \u010Dasto tak\u00E9 r\u00E1diov\u00E9 obvody - v\u0161e na jednom \u010Dipu. Tyto syst\u00E9my jsou velmi \u010Dast\u00E9 v mobiln\u00ED elektronice d\u00EDky jejich mal\u00E9 spot\u0159eb\u011B energie. Typick\u00E9 vyu\u017Eit\u00ED je v oblasti vestav\u011Bn\u00FDch syst\u00E9m\u016F."@cs , "Un sistema en chip (SoC, del ingl\u00E9s system on a chip) describe la tendencia cada vez m\u00E1s frecuente de usar tecnolog\u00EDas de fabricaci\u00F3n que integran todos o gran parte de los m\u00F3dulos que componen un computador o cualquier otro sistema inform\u00E1tico o electr\u00F3nico en un \u00FAnico circuito integrado o chip. El dise\u00F1o de estos sistemas puede estar basado en circuitos de se\u00F1al digital, se\u00F1al anal\u00F3gica, o incluso de se\u00F1al mixta (tanto anal\u00F3gica como digital), y a menudo m\u00F3dulos o sistemas de radiofrecuencia (m\u00F3dulos de comunicaci\u00F3n inal\u00E1mbrica: Wi-Fi, Bluetooth, y otros)."@es , "\u0645\u0646\u0638\u0648\u0645\u0629 \u0639\u0644\u0649 \u0631\u0642\u0627\u0642\u0629 (\u0628\u0627\u0644\u0625\u0646\u062C\u0644\u064A\u0632\u064A\u0629: SoC System-on-a-chip)\u200F \u0648\u0647\u064A \u062A\u0639\u0646\u064A \u0636\u0645 \u062C\u0645\u064A\u0639 \u0623\u0646\u0638\u0645\u0629 \u0627\u0644\u062D\u0627\u0633\u0648\u0628 \u0623\u0648 \u0646\u0638\u0627\u0645 \u0625\u0644\u0643\u062A\u0631\u0648\u0646\u064A \u0639\u0644\u0649 \u062F\u0627\u0631\u0629 \u0645\u062A\u0643\u0627\u0645\u0644\u0629 \u0648\u0627\u062D\u062F\u0629. \u0648\u0627\u0644\u062A\u064A \u0642\u062F \u062A\u062D\u0648\u064A \u0645\u0639\u0627\u0644\u062C \u0625\u0634\u0627\u0631\u0627\u062A \u0631\u0642\u0645\u064A\u0629 \u0648\u062A\u0645\u0627\u062B\u0644\u064A\u0629 \u0648\u0645\u0648\u062C\u0627\u062A \u0627\u0644\u0631\u0627\u062F\u064A\u0648 \u0641\u064A \u0631\u0642\u0627\u0642\u0629 \u0648\u062D\u062F\u0629. \u0648\u062A\u0633\u062A\u062E\u062F\u0645 \u063A\u0627\u0644\u0628\u064B\u0627 \u0641\u064A \u0627\u0644\u0623\u0646\u0638\u0645\u0629 \u0627\u0644\u0645\u0636\u0645\u0646\u0629. \u0648\u062A\u062E\u062A\u0644\u0641 \u0639\u0646 \u0627\u0644\u0645\u062A\u062D\u0643\u0645\u0627\u062A \u0627\u0644\u062F\u0642\u064A\u0642\u0629 \u0641\u064A \u0625\u0645\u0643\u0627\u0646\u064A\u0629 \u062A\u0634\u063A\u064A\u0644 \u0625\u0635\u062F\u0627\u0631\u0627\u062A \u0646\u0638\u0645 \u062A\u0634\u063A\u064A\u0644 \u0645\u062B\u0644 \u0644\u064A\u0646\u0643\u0633 \u0648\u0648\u064A\u0646\u062F\u0648\u0632 \u0627\u0644\u062A\u064A \u062A\u062D\u062A\u0627\u062C \u0631\u0642\u0627\u0642\u0629 \u0630\u0627\u0643\u0631\u0629 \u062E\u0627\u0631\u062C\u064A\u0629."@ar , "System-on-a-chip (SoC), System On Chip (SOC) ou, em portugu\u00EAs, sistema-em-um-chip, se refere a todos os componentes de um computador, ou qualquer outro sistema eletr\u00F4nico, em um circuito integrado (chip). Ele pode conter fun\u00E7\u00F5es digitais, anal\u00F3gicas, mistas e, muitas vezes, de radiofrequ\u00EAncia - RF; tudo em apenas um. Uma t\u00EDpica aplica\u00E7\u00E3o \u00E9 na \u00E1rea de sistemas embarcados."@pt , "Un syst\u00E8me sur une puce, souvent d\u00E9sign\u00E9 dans la litt\u00E9rature scientifique par le terme anglais \u00AB system on a chip \u00BB (d'o\u00F9 son abr\u00E9viation SoC), est un syst\u00E8me complet embarqu\u00E9 sur un seul circuit int\u00E9gr\u00E9 (\u00AB puce \u00BB), pouvant comprendre de la m\u00E9moire, un ou plusieurs microprocesseurs, des p\u00E9riph\u00E9riques d'interface, ou tout autre composant n\u00E9cessaire \u00E0 la r\u00E9alisation de la fonction attendue."@fr , "Een system-on-a-chip of system-on-chip (SoC of SOC) is een ge\u00EFntegreerde schakeling (IC) die alle componenten van een computer of elektronisch systeem samenvoegt in de behuizing van een enkele chip. Het kan zowel digitale, analoge, hybride en RF-functies bevatten op een enkel substraat. Het contrast met een microcontroller is gering. Microcontrollers hebben vaak minder dan 100 kB aan RAM-geheugen en zijn enkelvoudige chip-systemen. Een SoC beschikt over een krachtige processor en is in staat om een besturingssysteem zoals Windows of Linux te draaien, met aansturing van externe apparaten."@nl , "A system on a chip or system-on-chip (SoC /\u02CC\u02C8\u025Bso\u028Asi\u02D0/; pl. SoCs /\u02CC\u02C8\u025Bso\u028Asi\u02D0z/) is an integrated circuit that integrates most or all components of a computer or other electronic system. These components almost always include a central processing unit (CPU), memory interfaces, on-chip input/output devices, input/output interfaces, and secondary storage interfaces, often alongside other components such as radio modems and a graphics processing unit (GPU) \u2013 all on a single substrate or microchip. It may contain digital, analog, mixed-signal, and often radio frequency signal processing functions (otherwise it is considered only an application processor)."@en , "System-on-a-chip o SoC (tradu\u00EFt seria sistema en un xip), descriu la tend\u00E8ncia cada vegada m\u00E9s freq\u00FCent d'usar tecnologies de fabricaci\u00F3 que integren tots o gran part dels m\u00F2duls components d'un ordinador o qualsevol altre sistema inform\u00E0tic o electr\u00F2nic en un \u00FAnic circuit integrat o xip. El disseny d'aquests sistemes pot estar basat en circuits de senyal digital, senyal anal\u00F2gic, o fins i tot de senyal mixt (tant anal\u00F2gic com digital), i sovint m\u00F2duls o sistemes de radiofreq\u00FC\u00E8ncia (m\u00F2duls de : Wi-Fi, Bluetooth, etc.). Un \u00E0mbit com\u00FA d'aplicaci\u00F3 de la tecnologia SoC s\u00F3n els sistemes embeguts."@ca , "Unter System-on-a-Chip (SoC, dt. Ein-Chip-System), auch System-on-Chip, versteht man die Integration aller oder eines gro\u00DFen Teils der Funktionen eines programmierbaren elektronischen Systems auf einem Chip (Die), also einem integrierten Schaltkreis (IC) auf einem Halbleiter-Substrat, auch monolithische Integration genannt. Aufgrund der h\u00E4ufigen Nutzung von Silizium als Substratmaterial spricht man auch von System-on-Silicon (SoS). Als System wird dabei eine Kombination unterschiedlicher Elemente (logischen Schaltungen, Taktgebung, selbst\u00E4ndiges Anlaufen, mikrotechnische Sensoren usw.) aufgefasst, die zusammen eine bestimmte Funktionalit\u00E4t bereitstellen, beispielsweise ein Beschleunigungssensor samt Auswertungselektronik. Eingesetzt werden SoCs \u00FCblicherweise in eingebetteten Systemen."@de , "Sistem pada sebuah chip (bahasa Inggris: System on a chip) adalah sirkuit terpadu (juga dikenal sebagai \"chip\") yang mengintegrasikan semua atau sebagian besar komponen komputer atau sistem elektronik lainnya. Komponen-komponen ini hampir selalu termasuk Unit Pemroses Sentral (CPU), memori, port input/output dan penyimpanan sekunder - semua pada satu substrat atau microchip, seukuran koin. Ini harus mengandung digital, analog, sinyal campuran, dan seringkali fungsi pemrosesan sinyal frekuensi radio, jika tidak, itu hanya akan dianggap sebagai prosesor aplikasi. Karena mereka terintegrasi pada satu substrat, SoC mengkonsumsi daya yang jauh lebih sedikit dan mengambil area yang jauh lebih sedikit daripada desain multi-chip dengan fungsi yang setara."@in , "System-on-a-Chip (SoC), systemchip eller systemkrets, \u00E4r en ASIC som inneh\u00E5ller flera olika sorters processorer och funktionsblock ihopkopplade till ett system. I och med krympningen av halvledartekniken kan elektroniska funktioner som tidigare kr\u00E4vde separata chip idag rymmas p\u00E5 ett enda chip.Konstruktionen kr\u00E4ver kunskap om hela systemets funktion och kan involvera flera personer, f\u00F6retag eller projekt. Ett eller flera delsystem kan vara ink\u00F6pta i form av (ASIC) eller \u00E5teranv\u00E4nda fr\u00E5n tidigare projekt."@sv , "\uB2E8\uC77C \uCE69 \uC2DC\uC2A4\uD15C(\uC601\uC5B4: System on Chip, \uC57D\uCE6D:SoC)\uC740 \uD558\uB098\uC758 \uC9D1\uC801\uD68C\uB85C\uC5D0 \uC9D1\uC801\uB41C \uCEF4\uD4E8\uD130\uB098 \uC804\uC790 \uC2DC\uC2A4\uD15C \uBD80\uD488\uC744 \uAC00\uB9AC\uD0A8\uB2E4. \uB514\uC9C0\uD138 \uC2E0\uD638, \uC544\uB0A0\uB85C\uADF8 \uC2E0\uD638, \uC640 RF \uAE30\uB2A5\uB4F1\uC774 \uB2E8\uC77C \uCE69\uC5D0 \uAD6C\uD604\uB418\uC5B4 \uC788\uB2E4. \uC77C\uBC18\uC801\uC73C\uB85C \uC784\uBCA0\uB514\uB4DC \uC2DC\uC2A4\uD15C \uC601\uC5ED\uC5D0 \uC8FC\uB85C \uC0AC\uC6A9\uB41C\uB2E4. \uD2B9\uC815 \uC751\uC6A9\uC5D0\uC11C \uB2E8\uC77C \uCE69 \uC2DC\uC2A4\uD15C\uC744 \uAD6C\uD604\uD560 \uC218 \uC5C6\uC744 \uACBD\uC6B0, \uB2E8\uC77C \uD328\uD0A4\uC9C0\uC5D0 \uC5EC\uB7EC \uCE69\uC744 \uAD6C\uC131\uD55C (SIP)\uC744 \uC0AC\uC6A9\uD560 \uC218 \uC788\uB2E4. \uB2E8\uC77C \uCE69 \uC2DC\uC2A4\uD15C\uC740 \uB2E8\uC77C\uBA74\uC801\uC5D0 \uC81C\uC870\uB418\uB294 \uC18C\uC790\uC218\uAC00 \uB9CE\uC544\uC9C0\uACE0 \uD328\uD0A4\uC9C0\uAC00 \uB2E8\uC21C\uD574\uC9C0\uAE30 \uB54C\uBB38\uC5D0 \uC0DD\uC0B0\uBE44\uC6A9\uC774 \uD06C\uAC8C \uAC10\uC18C\uB418\uB294 \uAC83\uC73C\uB85C \uC2E0\uB8B0\uC131\uC744 \uC5BB\uB294\uB2E4. 2008\uB144 6\uC6D4 2\uC77C \uC5D4\uBE44\uB514\uC544\uB294 \uACF5\uC2DD\uC801\uC73C\uB85C \uBC29\uC1A1\uC6A9 \uAE30\uB2A5\uC774 \uD0D1\uC7AC\uB41C \uB2E8\uC77C \uCE69 \uC2DC\uC2A4\uD15C, \uC5D4\uBE44\uB514\uC544 \uD14C\uADF8\uB77C \uC81C\uD488\uAD70\uC744 \uBC1C\uD45C\uD588\uB2E4."@ko , "\u0421\u0438\u0441\u0442\u0435\u0301\u043C\u0430 \u043D\u0430 \u043A\u0440\u0438\u0441\u0442\u0430\u0301\u043B\u043B\u0435 (\u0421\u043D\u041A, \u043E\u0434\u043D\u043E\u043A\u0440\u0438\u0441\u0442\u0430\u0301\u043B\u044C\u043D\u0430\u044F \u0441\u0438\u0441\u0442\u0435\u0301\u043C\u0430; \u0430\u043D\u0433\u043B. System-on-a-Chip, SoC) \u2014 \u044D\u043B\u0435\u043A\u0442\u0440\u043E\u043D\u043D\u0430\u044F \u0441\u0445\u0435\u043C\u0430, \u0432\u044B\u043F\u043E\u043B\u043D\u044F\u044E\u0449\u0430\u044F \u0444\u0443\u043D\u043A\u0446\u0438\u0438 \u0446\u0435\u043B\u043E\u0433\u043E \u0443\u0441\u0442\u0440\u043E\u0439\u0441\u0442\u0432\u0430 (\u043D\u0430\u043F\u0440\u0438\u043C\u0435\u0440, \u043A\u043E\u043C\u043F\u044C\u044E\u0442\u0435\u0440\u0430) \u0438 \u0440\u0430\u0437\u043C\u0435\u0449\u0451\u043D\u043D\u0430\u044F \u043D\u0430 \u043E\u0434\u043D\u043E\u0439 \u0438\u043D\u0442\u0435\u0433\u0440\u0430\u043B\u044C\u043D\u043E\u0439 \u0441\u0445\u0435\u043C\u0435. \u0412 \u0437\u0430\u0432\u0438\u0441\u0438\u043C\u043E\u0441\u0442\u0438 \u043E\u0442 \u043D\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u043E\u043D\u0430 \u043C\u043E\u0436\u0435\u0442 \u043E\u043F\u0435\u0440\u0438\u0440\u043E\u0432\u0430\u0442\u044C \u043A\u0430\u043A \u0446\u0438\u0444\u0440\u043E\u0432\u044B\u043C\u0438 \u0441\u0438\u0433\u043D\u0430\u043B\u0430\u043C\u0438, \u0442\u0430\u043A \u0438 \u0430\u043D\u0430\u043B\u043E\u0433\u043E\u0432\u044B\u043C\u0438, \u0430\u043D\u0430\u043B\u043E\u0433\u043E-\u0446\u0438\u0444\u0440\u043E\u0432\u044B\u043C\u0438, \u0430 \u0442\u0430\u043A\u0436\u0435 \u0447\u0430\u0441\u0442\u043E\u0442\u0430\u043C\u0438 \u0440\u0430\u0434\u0438\u043E\u0434\u0438\u0430\u043F\u0430\u0437\u043E\u043D\u0430. \u041A\u0430\u043A \u043F\u0440\u0430\u0432\u0438\u043B\u043E, \u043F\u0440\u0438\u043C\u0435\u043D\u044F\u044E\u0442\u0441\u044F \u0432 \u043F\u043E\u0440\u0442\u0430\u0442\u0438\u0432\u043D\u044B\u0445 \u0438 \u0432\u0441\u0442\u0440\u0430\u0438\u0432\u0430\u0435\u043C\u044B\u0445 \u0441\u0438\u0441\u0442\u0435\u043C\u0430\u0445."@ru , "\u0421\u0438\u0441\u0442\u0435\u043C\u0430 \u043D\u0430 \u043A\u0440\u0438\u0441\u0442\u0430\u043B\u0456, \u0430\u0431\u043E \u0421\u0438\u0441\u0442\u0435\u043C\u0430 \u043D\u0430 \u0447\u0438\u043F\u0456 (\u0432\u0456\u0434 \u0430\u043D\u0433\u043B. System-on-a-chip, \u0430\u0431\u043E \u0456\u0449\u0435 SoC \u0447\u0438 SOC) \u2014 \u0434\u0438\u0437\u0430\u0439\u043D \u0435\u043B\u0435\u043A\u0442\u0440\u043E\u043D\u043D\u043E\u0457 \u0441\u0445\u0435\u043C\u0438, \u044F\u043A\u0430 \u0432\u043C\u0456\u0449\u0443\u0454 \u0444\u0443\u043D\u043A\u0446\u0456\u043E\u043D\u0430\u043B\u044C\u043D\u0456 \u0441\u043A\u043B\u0430\u0434\u043E\u0432\u0456 \u0446\u0456\u043B\u043E\u0433\u043E \u043F\u0440\u0438\u0441\u0442\u0440\u043E\u044E (\u043D\u0430\u043F\u0440\u0438\u043A\u043B\u0430\u0434 \u043A\u043E\u043C\u043F'\u044E\u0442\u0435\u0440\u0430) \u043D\u0430 \u043E\u0434\u043D\u0456\u0439 \u043C\u0456\u043A\u0440\u043E\u0441\u0445\u0435\u043C\u0456. \u0417\u0430\u043B\u0435\u0436\u043D\u043E \u0432\u0456\u0434 \u043F\u0440\u0438\u0437\u043D\u0430\u0447\u0435\u043D\u043D\u044F SoC \u043C\u043E\u0436\u0435 \u043E\u043F\u0435\u0440\u0443\u0432\u0430\u0442\u0438 \u044F\u043A \u0446\u0438\u0444\u0440\u043E\u0432\u0438\u043C\u0438 \u0441\u0438\u0433\u043D\u0430\u043B\u0430\u043C\u0438, \u0442\u0430\u043A \u0456 \u0430\u043D\u0430\u043B\u043E\u0433\u043E\u0432\u0438\u043C\u0438, \u0430\u043D\u0430\u043B\u043E\u0433\u043E-\u0446\u0438\u0444\u0440\u043E\u0432\u0438\u043C\u0438, \u0430 \u0442\u0430\u043A\u043E\u0436 \u0447\u0430\u0441\u0442\u043E\u0442\u0430\u043C\u0438 \u0440\u0430\u0434\u0456\u043E\u0434\u0456\u0430\u043F\u0430\u0437\u043E\u043D\u0443. \u0422\u0438\u043F\u043E\u0432\u0438\u043C \u0437\u0430\u0441\u0442\u043E\u0441\u0443\u0432\u0430\u043D\u043D\u044F\u043C \u0442\u0430\u043A\u0438\u0445 \u0441\u0445\u0435\u043C \u0454 \u0448\u0438\u0440\u043E\u043A\u0435 \u0440\u0456\u0437\u043D\u043E\u043C\u0430\u043D\u0456\u0442\u0442\u044F \u0432\u0431\u0443\u0434\u043E\u0432\u0430\u043D\u0438\u0445 \u0441\u0438\u0441\u0442\u0435\u043C."@uk , "system-on-chip - nomo de procesora arkitekturo. La\u016D la arkitekturo procesoro enhavas krom kalkula kerno multajn aldonajn blokojn kaj kontrolilojn. Ekz kotroliloj de \u0109efmemoro DDR, vigiga kontrolilo, sonkontrolilo, busoj PCI, IDE ktp."@eo , "Sistem dalam keping (en:System on a chip) adalah sebuah sirkuit terpadu yang menintegrasikan semua komponen dalam komputer atau sistem elektronik lainnya dalam satu keping. Sistem ini mungkin mengandung fungsi analog, digital, sinyal campur, dan sering kali fungsi frekuensi radio. Semua dalam satu keping substrat. Sistem dalam keping ini biasa digunakan dalam pasar elektronik genggam karena penggunaan daya yang sangat rendah. Sistem dalam keping ini juga digunakan di sistem benam."@in , "\u5355\u7247\u7CFB\u7EDF\u6216\u7247\u4E0A\u7CFB\u7EDF\uFF08\u82F1\u8A9E\uFF1ASystem on a Chip\uFF0C\u7E2E\u5BEB\uFF1ASoC\uFF09\u662F\u4E00\u4E2A\u5C06\u96FB\u8166\u6216\u5176\u4ED6\u7535\u5B50\u7CFB\u7EDF\u96C6\u6210\u5230\u5355\u4E00\u82AF\u7247\u7684\u96C6\u6210\u7535\u8DEF\u3002\u5355\u7247\u7CFB\u7EDF\u53EF\u4EE5\u5904\u7406\u6570\u5B57\u4FE1\u53F7\u3001\u6A21\u62DF\u4FE1\u53F7\u3001\u6DF7\u5408\u4FE1\u53F7\u751A\u81F3\u66F4\u9AD8\u9891\u7387\u7684\u4FE1\u53F7\u3002\u5355\u7247\u7CFB\u7EDF\u5E38\u5E38\u61C9\u7528\u5728\u5D4C\u5165\u5F0F\u7CFB\u7EDF\u4E2D\u3002\u5355\u7247\u7CFB\u7EDF\u7684\u96C6\u6210\u89C4\u6A21\u5F88\u5927\uFF0C\u4E00\u822C\u8FBE\u5230\u51E0\u767E\u4E07\u95E8\u5230\u51E0\u5343\u4E07\u95E8\u3002 \u5C3D\u7BA1\u5FAE\u63A7\u5236\u5668\u901A\u5E38\u53EA\u6709\u4E0D\u5230100kB\u7684\u968F\u673A\u5B58\u53D6\u5B58\u50A8\u5668\uFF0C\u4F46\u662F\u4E8B\u5B9E\u4E0A\u5B83\u4E5F\u662F\u4E00\u53F0\u5C0F\u96FB\u8166\u7684\u7D50\u69CB\uFF0C\u4E00\u79CD\u7B80\u6613\u7684\u3001\u529F\u80FD\u5F31\u5316\u7684\u5355\u82AF\u7247\u7CFB\u7EDF\uFF0C\u800C\u4E00\u822C\u8A8D\u77E5\u7684\u201C\u5355\u7247\u7CFB\u7EDF\u201D\u8FD9\u4E2A\u672F\u8BED\u5E38\u88AB\u7528\u6765\u6307\u529F\u80FD\u66F4\u52A0\u5F3A\u5927\u7684\u5904\u7406\u5668\uFF0C\u8FD9\u4E9B\u5904\u7406\u5668\u53EF\u4EE5\u8FD0\u884CWindows\u548CLinux\u7684\u67D0\u4E9B\u7248\u672C\u3002\u5355\u7247\u7CFB\u7EDF\u66F4\u5F3A\u7684\u529F\u80FD\u8981\u6C42\u5B83\u5177\u5907\u5916\u90E8\u5B58\u50A8\u82AF\u7247\uFF0C\u4F8B\u5982\u6709\u7684\u5355\u7247\u7CFB\u7EDF\u914D\u5907\u4E86\u95EA\u5B58\u3002\u5355\u7247\u7CFB\u7EDF\u5F80\u5F80\u53EF\u4EE5\u8FDE\u63A5\u989D\u5916\u7684\u5916\u90E8\u8BBE\u5907\u3002\u5355\u7247\u7CFB\u7EDF\u5BF9\u534A\u5BFC\u4F53\u5668\u4EF6\u7684\u96C6\u6210\u89C4\u6A21\u63D0\u51FA\u4E86\u66F4\u9AD8\u7684\u8981\u6C42\u3002\u4E3A\u4E86\u66F4\u597D\u5730\u6267\u884C\u66F4\u590D\u6742\u7684\u4EFB\u52A1\uFF0C\u4E00\u4E9B\u5355\u7247\u7CFB\u7EDF\u91C7\u7528\u4E86\u591A\u4E2A\u5904\u7406\u5668\u6838\u5FC3\u3002"@zh , "System-on-a-chip\uFF08SOC\u3001SoC\uFF09\u306F\u96C6\u7A4D\u56DE\u8DEF\u306E1\u500B\u306E\u30C1\u30C3\u30D7\u4E0A\u306B\u3001\u30D7\u30ED\u30BB\u30C3\u30B5\u30B3\u30A2\u3092\u306F\u3058\u3081\u4E00\u822C\u7684\u306A\u30DE\u30A4\u30AF\u30ED\u30B3\u30F3\u30C8\u30ED\u30FC\u30E9\u304C\u6301\u3064\u3088\u3046\u306A\u6A5F\u80FD\u306E\u4ED6\u3001\u5FDC\u7528\u76EE\u7684\u306E\u6A5F\u80FD\u306A\u3069\u3082\u96C6\u7A4D\u3057\u3001\u9023\u643A\u3057\u3066\u30B7\u30B9\u30C6\u30E0\u3068\u3057\u3066\u6A5F\u80FD\u3059\u308B\u3088\u3046\u8A2D\u8A08\u3055\u308C\u3066\u3044\u308B\u3001\u96C6\u7A4D\u56DE\u8DEF\u88FD\u54C1\u3067\u3042\u308B\u3002 \u5927\u5BB9\u91CF\u306EDRAM\u3084\u30A2\u30CA\u30ED\u30B0\u56DE\u8DEF\u306E\u6DF7\u8F09\u306B\u306F\u3055\u307E\u3056\u307E\u306A\u96E3\u3057\u3055\u3084\u30EA\u30B9\u30AF\u3082\u3042\u308A\u3001\u30C7\u30E1\u30EA\u30C3\u30C8\u3082\u3042\u308B\uFF08\u5F8C\u8FF0\uFF09\u305F\u3081\u3001DRAM\u3092\u5225\u30C1\u30C3\u30D7\u306B\u96C6\u7A4D\u3057\u3001\u540C\u4E00\u30D1\u30C3\u30B1\u30FC\u30B8\u306B\u53CE\u3081\u305FSiP\u306E\u5F62\u614B\u3092\u3068\u308B\u88FD\u54C1\u3082\u3042\u308B\u3002"@ja , "System na czipie (ang. system on a chip, SoC), w skr\u00F3cie czip \u2013 mianem tym okre\u015Bla si\u0119 uk\u0142ad scalony zawieraj\u0105cy kompletny system elektroniczny, w tym uk\u0142ady cyfrowe, analogowe (tak\u017Ce radiowe) oraz cyfrowo-analogowe. Poszczeg\u00F3lne modu\u0142y tego systemu, ze wzgl\u0119du na ich z\u0142o\u017Cono\u015B\u0107, pochodz\u0105 zwykle od r\u00F3\u017Cnych dostawc\u00F3w. Przyk\u0142adowo jednostka centralna pochodzi od jednego dostawcy, a porty komunikacji szeregowej od innego. Typowym obszarem zastosowa\u0144 SoC s\u0105 systemy wbudowane, a najbardziej rozpowszechnionym przedstawicielem tego rozwi\u0105zania s\u0105 systemy oparte na procesorach w architekturze ARM."@pl , "Un system on a chip (o system-on-a-chip, abbreviato SoC, lett. \"sistema su circuito integrato\"), nell'elettronica digitale, \u00E8 un circuito integrato che in un solo chip contiene un intero sistema, o meglio, oltre al processore centrale, integra anche un chipset ed eventualmente altri controller come quello per la memoria RAM, la circuiteria input/output o il sotto sistema video."@it ; rdfs:seeAlso dbr:Systems_design , dbr:Green_computing , . @prefix foaf: . dbr:System_on_a_chip foaf:depiction , , . @prefix dcterms: . @prefix dbc: . dbr:System_on_a_chip dcterms:subject dbc:Application-specific_integrated_circuits , dbc:Microtechnology , dbc:Hardware_acceleration , dbc:Computer_engineering , dbc:System_on_a_chip , dbc:Computer_systems , dbc:Electronic_design ; dbo:wikiPageID 100563 ; dbo:wikiPageRevisionID 1121653808 ; dbo:wikiPageWikiLink dbr:Ambient_intelligence , dbr:Computer_performance , dbr:Communications_system , dbr:Clock_signal , dbr:Electric_power , dbr:Electronic_design_automation , dbr:Smartwatch , , dbr:Computer_program , , dbr:Single-board_computer , dbr:SystemC , dbr:Peripheral , dbr:Google_Pixel , dbr:Netbook , dbr:Tree_network , dbr:Main_memory , dbr:Xiaomi , dbr:Computer_memory , dbr:Clock_generator , dbr:Debugging , dbr:Discrete_components , dbr:Video_quality , , dbr:Locality_of_reference , dbr:Hardware_acceleration , dbc:Application-specific_integrated_circuits , dbr:Cypress_PSoC , dbr:Random-access_memory , dbr:List_of_video_compression_formats , dbr:Genetic_algorithm_scheduling , dbr:Tablet_computer , dbr:Cache_coherence , dbr:Power_consumption , dbr:Internet_protocol_suite , dbr:Flash_memory , dbr:Cache_hierarchy , dbr:Acorn_Archimedes , dbr:Integral , dbr:Power_density , dbr:Mobile_device , dbr:Integrated_circuit_development , dbr:Application_domain , dbr:Scalability , dbr:Field-programmable_gate_array , dbr:Hardware_description_language , dbr:Qualcomm , dbr:Qualcomm_Snapdragon , dbr:X86 , , dbr:Application-specific_integrated_circuit , , dbr:Passive_cooling , dbr:System_in_a_package , dbr:Personal_computer , dbr:Microcontroller , dbr:Package_on_package , , dbr:Multi-processor_system-on-chip , , dbr:Netlist , dbr:List_of_system_on_a_chip_suppliers , dbr:High-level_programming_language , dbr:IP_core , dbr:Electrical_connector , dbr:Reduced_instruction_set_computer , dbr:Crystal_oscillators , dbr:Direct_memory_access , dbr:ASIC , dbr:Application-specific_instruction_set_processor , dbr:Mixed-signal_integrated_circuit , dbr:Ethernet , dbr:Telemetry , dbr:Functional_unit , dbr:Time_to_live , dbr:Electronics , dbr:Dissipation , dbr:Hypercube_internetwork_topology , dbr:Video_streaming , dbr:Semiconductor_intellectual_property_core , , dbr:Functional_verification , dbr:Stress_migration , dbr:Universal_Flash_Storage , , , dbr:Power_management , dbr:HDMI , , dbr:Hardware_verification_language , dbr:Place_and_route , dbr:Acorn_Computers , dbr:Multiple-criteria_decision_analysis , , dbr:Instruction_set_architecture , dbr:Asymptotic_analysis , dbr:MATLAB , dbr:Register-transfer_level , dbr:Requirements_engineering , dbr:Requirements_analysis , , dbr:Wi-Fi , dbr:Wireless_networking , dbr:AI_accelerator , dbr:Multivariate_optimization , dbr:Optimization_algorithm , dbr:Actuator , dbr:Instruction-level_parallelism , dbr:Fast_Fourier_transform , dbr:Microprocessor , dbr:Three-dimensional_integrated_circuit , dbr:Expansion_card , dbr:Platform-based_design , dbr:Integrated_development_environment , dbr:Low-power_electronics , dbr:Randomized_algorithm , dbr:Fused_multiply-accumulate , dbr:Manycore , dbr:Digital-to-analog_converter , dbr:Network_on_a_chip , dbr:Battery_life , dbr:Power_efficiency , dbr:Semiconductor_node , dbr:LPDDR , dbr:Communication_protocols , dbr:Smart_transducer , dbr:Distributed_processing , dbr:Stochastic_scheduling , , dbr:OpenVera , dbr:Network_topology , dbr:Lab-on-a-chip , , dbr:Electromigration , dbr:GPU , dbr:Memory_hierarchy , dbr:Multi-core_processor , , dbr:Institute_of_Electrical_and_Electronics_Engineers , dbr:Probabilistic_model . @prefix ns9: . dbr:System_on_a_chip dbo:wikiPageWikiLink ns9:output , , dbc:Microtechnology , dbr:NP-completeness , dbr:Execution_unit , dbr:NP-hardness , dbr:Phase-locked_loop , dbr:HTC , dbr:Integrated_circuit , dbr:Integrated_circuit_layout , dbr:Network_layer , dbc:Hardware_acceleration , dbr:Universal_synchronous_and_asynchronous_receiver-transmitter , , dbr:Edge_computing , , dbr:Dynamic_random-access_memory , dbr:Network_architecture , dbr:Microcomputer , , dbr:Very_long_instruction_word , dbr:Miniaturization , dbr:Computational_complexity , dbr:Radio_modem , , dbr:Apple_M1 , dbr:List_of_Samsung_System_on_Chips , dbr:Waste_heat , dbr:Exynos , dbr:Design_margin , dbr:Reconfigurable_computing , dbr:Classic_RISC_pipeline , dbr:Distributed_computing , dbr:Vector_processing , dbr:Computer-aided_design , dbr:Convolution , dbr:Power-on_reset , dbr:Timer , dbr:List_of_Qualcomm_Snapdragon_systems-on-chip , dbr:Emulator , dbr:Intel_Core , dbr:Printed_circuit_board , dbr:FPGA_prototyping , dbr:Transistors_density , dbr:Graphics_pipeline , dbr:Modular_programming , dbr:Boolean_satisfiability_problem , dbr:Protocol_stack , dbr:Modularity , dbr:Total_cost_of_ownership , , dbr:Poisson_process , dbr:Samsung_Electronics , dbr:Poisson_random_variable , dbr:Digital_camera , dbr:Auburn_University , dbr:EEPROM , , dbr:Post-silicon_validation , dbr:Organ-on-a-chip , dbr:Laptop , dbr:USB , dbr:Research_and_development , dbr:Multicore , dbr:Operating_frequency , dbr:Verification_and_validation , dbr:Branching_random_walk , dbr:Network_scheduling , dbr:EMMC , dbr:Chip_carrier , dbr:Modem , dbr:Transmission_Control_Protocol , dbr:Throughput , dbr:Device_driver , dbr:Wire_bonding , dbr:Bluetooth , , dbr:GPS_navigation_device , dbr:Logic_synthesis , dbr:Transistor_count , dbr:Data_analysis , dbr:Distributed_memory , dbr:Network_interface_controller , dbr:System_integration , dbr:Communication_protocol , dbr:Internet_of_things , , dbr:Full_custom , dbr:Processor_register , , dbr:Processor_design , dbr:Combinatorial_optimization , dbr:Routing , dbr:Markov_chain , dbr:Semiconductor_fabrication_plant , dbr:Superscalar_execution , dbr:Communications_subsystem , dbr:Processor_core , dbr:CPU_cache , , dbr:CULV , , dbr:Semiconductor_industry , dbr:Catastrophic_failure , dbr:Advanced_Microcontroller_Bus_Architecture , dbr:Signal_processing , dbr:Module_system , dbr:Approximation_algorithm , dbr:Samsung_Galaxy , dbr:FireWire , dbr:Semiconductor_device_fabrication , dbr:Graphics_processing_unit , dbr:Trade-off , dbr:Universal_Serial_Bus , , dbr:C_to_HDL , dbc:Computer_engineering , dbr:Image_processing , dbr:Multimedia_application , dbr:Tape-out , dbr:Pareto_efficiency , dbr:Data_collection , dbr:Near-field_communication , dbr:Motherboard , , dbr:Wolters_Kluwer , dbr:Windows_10 , dbr:SystemVerilog , , dbr:Multi-chip_module , dbr:High-level_synthesis , dbr:Static_random-access_memory , dbr:Firmware , dbr:Bus_contention , dbr:Mean_value_theorem , , dbr:Embedded_software , dbr:Serial_Peripheral_Interface , dbr:Glue_logic , dbr:Embedded_system , dbr:Voltage , dbr:Soft_microprocessor , dbr:Memory_latency , dbr:LG_Corporation , dbr:Mesh_networking , dbr:Computer_data_storage , dbr:Smartphone , dbr:Heat_flux , dbr:Smartphones , dbr:Central_processing_unit , , dbr:Computer_architecture , dbr:Voltage_regulator , dbr:Sensor , dbr:Control_unit , dbr:Semiconductor_memory , dbr:Mobile_computing , dbr:Mean_time_between_failures , dbr:Digital_watch , dbr:Wireless_network , dbr:Analog_signal , dbr:System_in_package , , dbr:Interchangeable_parts , dbr:Electric_current , dbr:Complex_instruction_set_computer , dbr:Torus_interconnect , dbr:Computer_engineers , dbr:Software_bug , , dbr:Radio_frequency , dbr:Machine_vision , dbr:Shared_resource , dbc:System_on_a_chip , dbr:Coprocessor , dbr:Very-large-scale_integration , dbr:Flow_to_HDL , dbr:Packet_switching , , dbr:Speedup , dbr:Analog-to-digital_converter , dbr:Read-only_memory , dbr:ARM_architecture , dbr:ARM_architecture_family , dbr:Standard_cell , dbr:Electronic_hardware , dbr:High_resolution , dbc:Electronic_design , dbc:Computer_systems , dbr:Cellular_network , dbr:Digital_signal_processing , dbr:Digital_signal_processor , dbr:Non-recurring_engineering ; dbo:wikiPageExternalLink , , , , ; owl:sameAs . @prefix ns10: . dbr:System_on_a_chip owl:sameAs ns10:Seestem_on_a_chip , . @prefix wikidata: . dbr:System_on_a_chip owl:sameAs wikidata:Q25458092 . @prefix dbpedia-ms: . dbr:System_on_a_chip owl:sameAs dbpedia-ms:Sistem-atas-cip , . @prefix dbpedia-sv: . dbr:System_on_a_chip owl:sameAs dbpedia-sv:System-on-a-chip , , , , . @prefix dbpedia-ca: . dbr:System_on_a_chip owl:sameAs dbpedia-ca:SoC , . @prefix dbpedia-es: . dbr:System_on_a_chip owl:sameAs dbpedia-es:System_on_a_chip . @prefix dbpedia-nl: . dbr:System_on_a_chip owl:sameAs dbpedia-nl:System-on-a-chip . @prefix dbpedia-ro: . dbr:System_on_a_chip owl:sameAs dbpedia-ro:System-on-a-Chip , . @prefix dbpedia-tr: . dbr:System_on_a_chip owl:sameAs dbpedia-tr:Yongada_sistem , , , , , . @prefix dbpedia-no: . dbr:System_on_a_chip owl:sameAs dbpedia-no:System_on_a_chip , . @prefix dbpedia-pt: . dbr:System_on_a_chip owl:sameAs dbpedia-pt:System-on-a-chip , , , . @prefix dbpedia-id: . dbr:System_on_a_chip owl:sameAs dbpedia-id:Sistem_dalam_keping , dbpedia-id:Sistem_pada_sebuah_chip . @prefix dbpedia-pl: . dbr:System_on_a_chip owl:sameAs dbpedia-pl:System_on_chip , , , . @prefix yago-res: . dbr:System_on_a_chip owl:sameAs yago-res:System_on_a_chip , wikidata:Q610398 . @prefix dbpedia-eo: . dbr:System_on_a_chip owl:sameAs dbpedia-eo:System-on-chip . @prefix dbpedia-it: . dbr:System_on_a_chip owl:sameAs dbpedia-it:System-on-a-chip . @prefix dbpedia-de: . dbr:System_on_a_chip owl:sameAs dbpedia-de:System-on-a-Chip , . @prefix dbpedia-ja: . dbr:System_on_a_chip owl:sameAs dbpedia-ja:System-on-a-chip , . @prefix dbp: . @prefix dbt: . dbr:System_on_a_chip dbp:wikiPageUsesTemplate dbt:Citation_needed , dbt:Expand_section , dbt:Selfref_inline , dbt:See , dbt:See_also , dbt:Section_link , dbt:Clarify , dbt:Cite_book , dbt:Reflist , dbt:Systems_on_chip , dbt:Computer_science , dbt:Hardware_acceleration , dbt:Further , dbt:More_citations_needed_section , dbt:CPU_technologies , dbt:Broader , dbt:Programmable_Logic , dbt:Single-board_computer , dbt:Main , dbt:Rp , dbt:Short_description , dbt:Use_mdy_dates , dbt:Use_American_English , dbt:IPAc-en ; dbo:thumbnail ; dbp:date "May 2018"@en , "October 2018"@en ; dbp:reason "what kind of cost?"@en , "confusing to non-experts"@en ; dbp:small "no"@en ; dbo:abstract "Un syst\u00E8me sur une puce, souvent d\u00E9sign\u00E9 dans la litt\u00E9rature scientifique par le terme anglais \u00AB system on a chip \u00BB (d'o\u00F9 son abr\u00E9viation SoC), est un syst\u00E8me complet embarqu\u00E9 sur un seul circuit int\u00E9gr\u00E9 (\u00AB puce \u00BB), pouvant comprendre de la m\u00E9moire, un ou plusieurs microprocesseurs, des p\u00E9riph\u00E9riques d'interface, ou tout autre composant n\u00E9cessaire \u00E0 la r\u00E9alisation de la fonction attendue. Il peut \u00E9galement comprendre de la logique, de la m\u00E9moire (statique, dynamique, flash, ROM, PROM, EPROM ou EEPROM), des dispositifs (capteurs) m\u00E9caniques, opto-\u00E9lectroniques, chimiques ou biologiques et des circuits radio."@fr , "Sistem pada sebuah chip (bahasa Inggris: System on a chip) adalah sirkuit terpadu (juga dikenal sebagai \"chip\") yang mengintegrasikan semua atau sebagian besar komponen komputer atau sistem elektronik lainnya. Komponen-komponen ini hampir selalu termasuk Unit Pemroses Sentral (CPU), memori, port input/output dan penyimpanan sekunder - semua pada satu substrat atau microchip, seukuran koin. Ini harus mengandung digital, analog, sinyal campuran, dan seringkali fungsi pemrosesan sinyal frekuensi radio, jika tidak, itu hanya akan dianggap sebagai prosesor aplikasi. Karena mereka terintegrasi pada satu substrat, SoC mengkonsumsi daya yang jauh lebih sedikit dan mengambil area yang jauh lebih sedikit daripada desain multi-chip dengan fungsi yang setara. Karena itu, SoC sangat umum di komputasi mobile (seperti di smartphone) dan pasar komputasi tepi. Sistem pada sebuah chip biasanya dibuat menggunakan teknologi metal-oxide-semiconductor (MOS), dan umumnya digunakan dalam sistem benam dan Internet of Things. SoC yang berkinerja lebih tinggi sering dipasangkan dengan memori khusus dan chip penyimpanan sekunder yang terpisah secara fisik, yang mungkin berlapis di atas SoC dalam apa yang dikenal sebagai konfigurasi (PoP)."@in , "\u0645\u0646\u0638\u0648\u0645\u0629 \u0639\u0644\u0649 \u0631\u0642\u0627\u0642\u0629 (\u0628\u0627\u0644\u0625\u0646\u062C\u0644\u064A\u0632\u064A\u0629: SoC System-on-a-chip)\u200F \u0648\u0647\u064A \u062A\u0639\u0646\u064A \u0636\u0645 \u062C\u0645\u064A\u0639 \u0623\u0646\u0638\u0645\u0629 \u0627\u0644\u062D\u0627\u0633\u0648\u0628 \u0623\u0648 \u0646\u0638\u0627\u0645 \u0625\u0644\u0643\u062A\u0631\u0648\u0646\u064A \u0639\u0644\u0649 \u062F\u0627\u0631\u0629 \u0645\u062A\u0643\u0627\u0645\u0644\u0629 \u0648\u0627\u062D\u062F\u0629. \u0648\u0627\u0644\u062A\u064A \u0642\u062F \u062A\u062D\u0648\u064A \u0645\u0639\u0627\u0644\u062C \u0625\u0634\u0627\u0631\u0627\u062A \u0631\u0642\u0645\u064A\u0629 \u0648\u062A\u0645\u0627\u062B\u0644\u064A\u0629 \u0648\u0645\u0648\u062C\u0627\u062A \u0627\u0644\u0631\u0627\u062F\u064A\u0648 \u0641\u064A \u0631\u0642\u0627\u0642\u0629 \u0648\u062D\u062F\u0629. \u0648\u062A\u0633\u062A\u062E\u062F\u0645 \u063A\u0627\u0644\u0628\u064B\u0627 \u0641\u064A \u0627\u0644\u0623\u0646\u0638\u0645\u0629 \u0627\u0644\u0645\u0636\u0645\u0646\u0629. \u0648\u062A\u062E\u062A\u0644\u0641 \u0639\u0646 \u0627\u0644\u0645\u062A\u062D\u0643\u0645\u0627\u062A \u0627\u0644\u062F\u0642\u064A\u0642\u0629 \u0641\u064A \u0625\u0645\u0643\u0627\u0646\u064A\u0629 \u062A\u0634\u063A\u064A\u0644 \u0625\u0635\u062F\u0627\u0631\u0627\u062A \u0646\u0638\u0645 \u062A\u0634\u063A\u064A\u0644 \u0645\u062B\u0644 \u0644\u064A\u0646\u0643\u0633 \u0648\u0648\u064A\u0646\u062F\u0648\u0632 \u0627\u0644\u062A\u064A \u062A\u062D\u062A\u0627\u062C \u0631\u0642\u0627\u0642\u0629 \u0630\u0627\u0643\u0631\u0629 \u062E\u0627\u0631\u062C\u064A\u0629."@ar , "Un sistema en chip (SoC, del ingl\u00E9s system on a chip) describe la tendencia cada vez m\u00E1s frecuente de usar tecnolog\u00EDas de fabricaci\u00F3n que integran todos o gran parte de los m\u00F3dulos que componen un computador o cualquier otro sistema inform\u00E1tico o electr\u00F3nico en un \u00FAnico circuito integrado o chip. El dise\u00F1o de estos sistemas puede estar basado en circuitos de se\u00F1al digital, se\u00F1al anal\u00F3gica, o incluso de se\u00F1al mixta (tanto anal\u00F3gica como digital), y a menudo m\u00F3dulos o sistemas de radiofrecuencia (m\u00F3dulos de comunicaci\u00F3n inal\u00E1mbrica: Wi-Fi, Bluetooth, y otros). Un \u00E1mbito com\u00FAn de aplicaci\u00F3n de la tecnolog\u00EDa SoC son los sistemas embebidos. La diferencia principal de un SoC con un microcontrolador tradicional no debe pasarse por alto, puesto que estos rara vez disponen de m\u00E1s de 100 kilobytes de memoria RAM (de hecho, lo m\u00E1s frecuente es que las memorias, tanto la RAM como la flash, de un microcontrolador consten de unos pocos kilobytes), y gran parte de estos son estructuras monochip, mientras que el t\u00E9rmino SoC es usado para procesadores m\u00E1s potentes y de arquitectura m\u00E1s compleja, como son los que integran los ordenadores y dispositivos actuales que dependen de chips o m\u00F3dulos de memoria externos para ser eficaces. Para sistemas m\u00E1s grandes y complejos ser\u00EDa impropio hablar de SoC, convirti\u00E9ndose el t\u00E9rmino en tal caso, m\u00E1s en una mera referencia o directiva a seguir que en la propia realidad de estos: Aumentar la integraci\u00F3n en un mismo chip con el objetivo de reducir costes y construir sistemas cada vez m\u00E1s reducidos (capaces de lo mismo o m\u00E1s que sistemas m\u00E1s antiguos y voluminosos). Resultar\u00EDa impropio, principalmente, porque los intereses de la mayor\u00EDa de los proyectos desarrollados en esta \u00E1rea fijan sus objetivos en dise\u00F1os tan espec\u00EDficos y complejos que no suelen permitir -debido al coste de estos- la implementaci\u00F3n de todo el sistema en un solo chip.Estos suelen ser dise\u00F1ados expresamente para una optimizaci\u00F3n en la realizaci\u00F3n de uno o varios de los procesos que suponen la mayor parte de su rutina cotidiana de funcionamiento. Una alternativa al dise\u00F1o y fabricaci\u00F3n de un SoC \u2014cuando esto no sea rentable, por ejemplo\u2014 para una determinada aplicaci\u00F3n es un sistema sistema en paquete o SiP (system in package), que comprende un n\u00FAmero determinado de chips ensamblados \u2014no integrados como en un SoC\u2014 formando un solo paquete (de ah\u00ED el t\u00E9rmino).A pesar de esto, se estima que la fabricaci\u00F3n en gran volumen de SoC ser\u00E1 m\u00E1s y m\u00E1s rentable (por unidad) que la de sistemas SiP, debido a que el rendimiento de fabricaci\u00F3n unitario para un SoC es mayor y su montaje y empaquetado mucho m\u00E1s sencillos.\u200B Una tercera opci\u00F3n en la integraci\u00F3n de sistemas electr\u00F3nicos (presente, por ejemplo, en m\u00F3viles de alta gama de hace menos de una d\u00E9cada o minicomputadores basados en procesadores OMAP de Texas Instruments), es el apilado de diferentes capas de circuitos al ensamblarse el producto final . Consiste, b\u00E1sicamente, en la soldadura de la placa/capa principal \u2014normalmente la que contiene el procesador o circuito integrado primario\u2014 con placas superiores e/o inferiores mediante un entramado de esferas met\u00E1licas (encapsulado de tipo BGA, acr\u00F3nimo de Ball Grid Array). Este ensamblado proporciona a la estructura una forma de s\u00E1ndwich a la vez que interconecta el procesador o circuito primario con, por ejemplo, los buses de memoria de una placa y capa diferentes que se apila con la principal.\u200B En algunos casos, cada una estas placas o capas independientes que formar\u00E1n parte del producto final son fabricadas y distribuidas por empresas distintas entre s\u00ED, o de las que t\u00EDpicamente fabrican microcontroladores, microprocesadores o SoC.[cita requerida]"@es , "Een system-on-a-chip of system-on-chip (SoC of SOC) is een ge\u00EFntegreerde schakeling (IC) die alle componenten van een computer of elektronisch systeem samenvoegt in de behuizing van een enkele chip. Het kan zowel digitale, analoge, hybride en RF-functies bevatten op een enkel substraat. Het contrast met een microcontroller is gering. Microcontrollers hebben vaak minder dan 100 kB aan RAM-geheugen en zijn enkelvoudige chip-systemen. Een SoC beschikt over een krachtige processor en is in staat om een besturingssysteem zoals Windows of Linux te draaien, met aansturing van externe apparaten. Wanneer het niet mogelijk is een SoC samen te stellen voor een specifieke toepassing, dan kan gekozen worden voor een system-in-package (SiP). Dit zijn een aantal chips in een enkele behuizing. In grotere volumes is een SoC meer kosteneffectief dan een SiP vanwege de lagere fabricagekosten en de eenvoudige samenstelling."@nl , "Sistem dalam keping (en:System on a chip) adalah sebuah sirkuit terpadu yang menintegrasikan semua komponen dalam komputer atau sistem elektronik lainnya dalam satu keping. Sistem ini mungkin mengandung fungsi analog, digital, sinyal campur, dan sering kali fungsi frekuensi radio. Semua dalam satu keping substrat. Sistem dalam keping ini biasa digunakan dalam pasar elektronik genggam karena penggunaan daya yang sangat rendah. Sistem dalam keping ini juga digunakan di sistem benam. Salah satu hal yang berpengaruh di Sistem dalam keping ini adalah mikrokontroler. Mikrokontroler biasanya memiliki kurang dari 100 kB RAM (biasanya hanya beberapa kilobita) dan biasanya mikrokontroler menggunakan sistem satu keping, dimana sistem dalam keping ini digunakan untuk prosesor yang lebih bertenaga, dapat digunakan di perangkat lunak yang mendukung, seperti pada versi desktop dari Windows dan Linux, yang membutuhkan memori eksternal (flash, RAM) agar berguna, dan juga bisa digunakan di banyak jenis komponen periferal. Singkatnya, untuk sistem yang lebih besar, Sistem dalam keping ini adalah hiperbola, mengindikasikan arahan teknis yang lebih daripada kenyataan: integrasi keping yang berderajat tinggi, mengarah kepada pemangkasan , dan produksi untuk sistem yang lebih kecil. Banyak sistem yang menarik terlalu kompleks untuk muat dalam satu keping yang dibuat dengan prosesor yang telah dioptimalkan hanya untuk satu tugas sistem. Dimana ada waktunya tidak layak untuk membangun Sistem dalam keping untuk aplikasi tertentu, salah satu alternatifnya adalah mencurigakan dari sejumlah keping dalam satu . Di dalam jumlah besar, Sistem dalam keping dipercaya dapat menekan daripada Sistem dalma paket sejak peningkatan hasil dari dan karena pengepakan yang gampang. Opsi berikutnya, yang dapat dilihat contohnya di dalam Telepon pintar berteknologi tinggi dan di dalam , adalah Paket dalam paket menumpuk dalam pembuatan board. Di dalam sistem dalam keping terdapat prosesor dan sejumlah , dan datang dalam paket berbentuk dengan sambungan bawah dan atas. Di bagian bawah bola tersambung dengan board dan beberapa periferal, sedangkan dalam bagian atas bola di dalam ring ditahan ileh bus memori yang digunakan untuk mengakses dan . Paket memori juga muncul dari beberapa ."@in , "system-on-chip - nomo de procesora arkitekturo. La\u016D la arkitekturo procesoro enhavas krom kalkula kerno multajn aldonajn blokojn kaj kontrolilojn. Ekz kotroliloj de \u0109efmemoro DDR, vigiga kontrolilo, sonkontrolilo, busoj PCI, IDE ktp."@eo , "\u5355\u7247\u7CFB\u7EDF\u6216\u7247\u4E0A\u7CFB\u7EDF\uFF08\u82F1\u8A9E\uFF1ASystem on a Chip\uFF0C\u7E2E\u5BEB\uFF1ASoC\uFF09\u662F\u4E00\u4E2A\u5C06\u96FB\u8166\u6216\u5176\u4ED6\u7535\u5B50\u7CFB\u7EDF\u96C6\u6210\u5230\u5355\u4E00\u82AF\u7247\u7684\u96C6\u6210\u7535\u8DEF\u3002\u5355\u7247\u7CFB\u7EDF\u53EF\u4EE5\u5904\u7406\u6570\u5B57\u4FE1\u53F7\u3001\u6A21\u62DF\u4FE1\u53F7\u3001\u6DF7\u5408\u4FE1\u53F7\u751A\u81F3\u66F4\u9AD8\u9891\u7387\u7684\u4FE1\u53F7\u3002\u5355\u7247\u7CFB\u7EDF\u5E38\u5E38\u61C9\u7528\u5728\u5D4C\u5165\u5F0F\u7CFB\u7EDF\u4E2D\u3002\u5355\u7247\u7CFB\u7EDF\u7684\u96C6\u6210\u89C4\u6A21\u5F88\u5927\uFF0C\u4E00\u822C\u8FBE\u5230\u51E0\u767E\u4E07\u95E8\u5230\u51E0\u5343\u4E07\u95E8\u3002 \u5C3D\u7BA1\u5FAE\u63A7\u5236\u5668\u901A\u5E38\u53EA\u6709\u4E0D\u5230100kB\u7684\u968F\u673A\u5B58\u53D6\u5B58\u50A8\u5668\uFF0C\u4F46\u662F\u4E8B\u5B9E\u4E0A\u5B83\u4E5F\u662F\u4E00\u53F0\u5C0F\u96FB\u8166\u7684\u7D50\u69CB\uFF0C\u4E00\u79CD\u7B80\u6613\u7684\u3001\u529F\u80FD\u5F31\u5316\u7684\u5355\u82AF\u7247\u7CFB\u7EDF\uFF0C\u800C\u4E00\u822C\u8A8D\u77E5\u7684\u201C\u5355\u7247\u7CFB\u7EDF\u201D\u8FD9\u4E2A\u672F\u8BED\u5E38\u88AB\u7528\u6765\u6307\u529F\u80FD\u66F4\u52A0\u5F3A\u5927\u7684\u5904\u7406\u5668\uFF0C\u8FD9\u4E9B\u5904\u7406\u5668\u53EF\u4EE5\u8FD0\u884CWindows\u548CLinux\u7684\u67D0\u4E9B\u7248\u672C\u3002\u5355\u7247\u7CFB\u7EDF\u66F4\u5F3A\u7684\u529F\u80FD\u8981\u6C42\u5B83\u5177\u5907\u5916\u90E8\u5B58\u50A8\u82AF\u7247\uFF0C\u4F8B\u5982\u6709\u7684\u5355\u7247\u7CFB\u7EDF\u914D\u5907\u4E86\u95EA\u5B58\u3002\u5355\u7247\u7CFB\u7EDF\u5F80\u5F80\u53EF\u4EE5\u8FDE\u63A5\u989D\u5916\u7684\u5916\u90E8\u8BBE\u5907\u3002\u5355\u7247\u7CFB\u7EDF\u5BF9\u534A\u5BFC\u4F53\u5668\u4EF6\u7684\u96C6\u6210\u89C4\u6A21\u63D0\u51FA\u4E86\u66F4\u9AD8\u7684\u8981\u6C42\u3002\u4E3A\u4E86\u66F4\u597D\u5730\u6267\u884C\u66F4\u590D\u6742\u7684\u4EFB\u52A1\uFF0C\u4E00\u4E9B\u5355\u7247\u7CFB\u7EDF\u91C7\u7528\u4E86\u591A\u4E2A\u5904\u7406\u5668\u6838\u5FC3\u3002"@zh , "System-on-a-Chip (SoC), systemchip eller systemkrets, \u00E4r en ASIC som inneh\u00E5ller flera olika sorters processorer och funktionsblock ihopkopplade till ett system. I och med krympningen av halvledartekniken kan elektroniska funktioner som tidigare kr\u00E4vde separata chip idag rymmas p\u00E5 ett enda chip.Konstruktionen kr\u00E4ver kunskap om hela systemets funktion och kan involvera flera personer, f\u00F6retag eller projekt. Ett eller flera delsystem kan vara ink\u00F6pta i form av (ASIC) eller \u00E5teranv\u00E4nda fr\u00E5n tidigare projekt. I Sverige har forskning om och utveckling av SoC:er bedrivits av bland annat Acreo, Chalmers, Lunds tekniska h\u00F6gskola, LiTH och KTH. Halvsvenska ST-Ericsson tillverkade under 00-talet systemchips f\u00F6r mobiltelefoner."@sv , "System-on-a-chip o SoC (tradu\u00EFt seria sistema en un xip), descriu la tend\u00E8ncia cada vegada m\u00E9s freq\u00FCent d'usar tecnologies de fabricaci\u00F3 que integren tots o gran part dels m\u00F2duls components d'un ordinador o qualsevol altre sistema inform\u00E0tic o electr\u00F2nic en un \u00FAnic circuit integrat o xip. El disseny d'aquests sistemes pot estar basat en circuits de senyal digital, senyal anal\u00F2gic, o fins i tot de senyal mixt (tant anal\u00F2gic com digital), i sovint m\u00F2duls o sistemes de radiofreq\u00FC\u00E8ncia (m\u00F2duls de : Wi-Fi, Bluetooth, etc.). Un \u00E0mbit com\u00FA d'aplicaci\u00F3 de la tecnologia SoC s\u00F3n els sistemes embeguts. Les difer\u00E8ncia principal d'un SoC amb un microcontrolador tradicional no ha de passar per alt, ja que aquests poques vegades disposen de m\u00E9s de 100 Kilobytes de mem\u00F2ria RAM (de fet, el m\u00E9s freq\u00FCent \u00E9s que les mem\u00F2ries d'un microcontrolador nom\u00E9s consti (n) d'uns pocs Kilobytes), i gran part d'aquests s\u00F3n estructures mono-xip, mentre que el terme SoC \u00E9s usat per processadors m\u00E9s potents i complexos, com s\u00F3n els dels ordinadors actuals, i que depenen de xip sobre m\u00F2duls de mem\u00F2ria externs per ser efica\u00E7os. Per a sistemes m\u00E9s grans i complexos seria impropi parlar de SoCs, convertint-se el terme, en aquest cas, en una mera refer\u00E8ncia o directiva seguir que la pr\u00F2pia realitat d'aquests: Augmentar la integraci\u00F3 en un mateix xip amb l'objectiu de reduir costos i construir sistemes cada vegada m\u00E9s redu\u00EFts (capa\u00E7os del mateix o m\u00E9s que sistemes m\u00E9s antics i voluminosos). Resultaria impropi, principalment, perqu\u00E8 els interessos de la majoria dels projectes desenvolupats en aquesta \u00E0rea fixen els seus objectius en dissenys tan espec\u00EDfics i complexos que no solen permetre-a causa del cost d'aquests-la implementaci\u00F3 de tot el sistema en un sol xip.Aquests solen ser dissenyats expressament per a una optimitzaci\u00F3 en la realitzaci\u00F3 d'un (o m\u00E9s) dels processos que suposen gran part de la rutina de funcionament. Una alternativa al disseny i fabricaci\u00F3 d'un SoC-quan aix\u00F2 no sigui rendible, per exemple-per a una determinada aplicaci\u00F3 \u00E9s un sistema (o SiP), que compr\u00E8n un nombre determinat de xips acoblats en un de sol. Tot i aix\u00F2, s'estima que la fabricaci\u00F3 en gran volum de SoCs ser\u00E0 m\u00E9s rendible que la de sistemes SiP, pel fet que el rendiment de fabricaci\u00F3 unitari per a un SoC \u00E9s gran i el seu muntatge i empaquetat molt m\u00E9s senzills. Una tercera opci\u00F3 en la integraci\u00F3 de sistemes electr\u00F2nics, present per exemple en tel\u00E8fons m\u00F2bils d'alta gamma, o el Board (un senzill ordinador de baixa pot\u00E8ncia basat en el processador de Texas Instruments), \u00E9s l'apilament de diferents plaques de circuits en assemblar el sistema ( o ). Consisteix, b\u00E0sicament, en la soldadura de la placa principal-la que cont\u00E9 el processador-amb plaques superiors i inferiors mitjan\u00E7ant un entramat d'esferes met\u00E0l\u00B7liques (BGA, ball grid array) en forma d'anell. Aquestes proporcionen consist\u00E8ncia a l'estructura en forma de sandvitx alhora que interconnecten el processador (i altres components principals) amb els busos de mem\u00F2ria situada a una placa diferent apilades sota o sobre la principal. Normalment aquestes plaques s\u00F3n fabricades i distribu\u00EFdes per diferents empreses que les que dissenyen microcontroladors, microprocessadors i SoCs."@ca , "System na czipie (ang. system on a chip, SoC), w skr\u00F3cie czip \u2013 mianem tym okre\u015Bla si\u0119 uk\u0142ad scalony zawieraj\u0105cy kompletny system elektroniczny, w tym uk\u0142ady cyfrowe, analogowe (tak\u017Ce radiowe) oraz cyfrowo-analogowe. Poszczeg\u00F3lne modu\u0142y tego systemu, ze wzgl\u0119du na ich z\u0142o\u017Cono\u015B\u0107, pochodz\u0105 zwykle od r\u00F3\u017Cnych dostawc\u00F3w. Przyk\u0142adowo jednostka centralna pochodzi od jednego dostawcy, a porty komunikacji szeregowej od innego. Typowym obszarem zastosowa\u0144 SoC s\u0105 systemy wbudowane, a najbardziej rozpowszechnionym przedstawicielem tego rozwi\u0105zania s\u0105 systemy oparte na procesorach w architekturze ARM. W przypadku, gdy niemo\u017Cliwe jest zintegrowanie wszystkich obwod\u00F3w na jednym pod\u0142o\u017Cu p\u00F3\u0142przewodnikowym, poszczeg\u00F3lne modu\u0142y wykonuje si\u0119 na oddzielnych kryszta\u0142ach, a ca\u0142o\u015B\u0107 zamyka si\u0119 w jednej obudowie. Rozwi\u0105zanie takie okre\u015Blane jest mianem (ang. System-in-package), jest ono jednak mniej op\u0142acalne ekonomicznie, szczeg\u00F3lnie przy produkcji w du\u017Cych seriach. Innym rozwi\u0105zaniem, stosowanym np. w telefonach kom\u00F3rkowych z \u201Enajwy\u017Cszej p\u00F3\u0142ki\u201D, jest (ang. Package-on-package). Polega ono na zastosowaniu dw\u00F3ch uk\u0142ad\u00F3w scalonych, z kt\u00F3rych jeden jest montowany pod drugim. Zwykle czip znajduj\u0105cy si\u0119 pod spodem jest uk\u0142adem typu SoC, a na nim umieszczony jest uk\u0142ad pami\u0119ci RAM lub Flash o znacznie wi\u0119kszym rozmiarze zwykle w obudowie typu BGA. Najwi\u0119ksz\u0105 r\u00F3\u017Cnic\u0105, pomi\u0119dzy mikrokontrolerami a SoC, jest ilo\u015B\u0107 pami\u0119ci jak\u0105 wymagaj\u0105 do swojej pracy i jak\u0105 obs\u0142uguj\u0105 te uk\u0142ady scalone. W przypadku mikrokontroler\u00F3w jest to zwykle poni\u017Cej 100 kB pami\u0119ci RAM, a ponadto ca\u0142y system rzeczywi\u015Bcie jest zawarty w jednym tylko czipie. Natomiast SoC najcz\u0119\u015Bciej potrzebuj\u0105 zewn\u0119trznych uk\u0142ad\u00F3w pami\u0119ci RAM i Flash, a okre\u015Blenie System on chip jest, g\u0142\u00F3wnie w przypadku wi\u0119kszych system\u00F3w, tylko przeno\u015Bni\u0105, kt\u00F3ra okre\u015Bla raczej ukierunkowanie prac projektowych ni\u017C rzeczywist\u0105 realizacj\u0119. Ponadto SoC wyposa\u017Cone s\u0105 w CPU o stosunkowo du\u017Cej mocy obliczeniowej, pozwalaj\u0105cej uruchamia\u0107 systemy operacyjne takie jak Linux, Windows CE / Windows Mobile lub nawet ich odpowiedniki desktopowe, a tak\u017Ce mog\u0105 obs\u0142ugiwa\u0107 bardziej wyspecjalizowane peryferia ni\u017C mikrokontrolery."@pl , "System-on-a-chip\uFF08SOC\u3001SoC\uFF09\u306F\u96C6\u7A4D\u56DE\u8DEF\u306E1\u500B\u306E\u30C1\u30C3\u30D7\u4E0A\u306B\u3001\u30D7\u30ED\u30BB\u30C3\u30B5\u30B3\u30A2\u3092\u306F\u3058\u3081\u4E00\u822C\u7684\u306A\u30DE\u30A4\u30AF\u30ED\u30B3\u30F3\u30C8\u30ED\u30FC\u30E9\u304C\u6301\u3064\u3088\u3046\u306A\u6A5F\u80FD\u306E\u4ED6\u3001\u5FDC\u7528\u76EE\u7684\u306E\u6A5F\u80FD\u306A\u3069\u3082\u96C6\u7A4D\u3057\u3001\u9023\u643A\u3057\u3066\u30B7\u30B9\u30C6\u30E0\u3068\u3057\u3066\u6A5F\u80FD\u3059\u308B\u3088\u3046\u8A2D\u8A08\u3055\u308C\u3066\u3044\u308B\u3001\u96C6\u7A4D\u56DE\u8DEF\u88FD\u54C1\u3067\u3042\u308B\u3002 \u5927\u5BB9\u91CF\u306EDRAM\u3084\u30A2\u30CA\u30ED\u30B0\u56DE\u8DEF\u306E\u6DF7\u8F09\u306B\u306F\u3055\u307E\u3056\u307E\u306A\u96E3\u3057\u3055\u3084\u30EA\u30B9\u30AF\u3082\u3042\u308A\u3001\u30C7\u30E1\u30EA\u30C3\u30C8\u3082\u3042\u308B\uFF08\u5F8C\u8FF0\uFF09\u305F\u3081\u3001DRAM\u3092\u5225\u30C1\u30C3\u30D7\u306B\u96C6\u7A4D\u3057\u3001\u540C\u4E00\u30D1\u30C3\u30B1\u30FC\u30B8\u306B\u53CE\u3081\u305FSiP\u306E\u5F62\u614B\u3092\u3068\u308B\u88FD\u54C1\u3082\u3042\u308B\u3002"@ja , "\u0421\u0438\u0441\u0442\u0435\u0301\u043C\u0430 \u043D\u0430 \u043A\u0440\u0438\u0441\u0442\u0430\u0301\u043B\u043B\u0435 (\u0421\u043D\u041A, \u043E\u0434\u043D\u043E\u043A\u0440\u0438\u0441\u0442\u0430\u0301\u043B\u044C\u043D\u0430\u044F \u0441\u0438\u0441\u0442\u0435\u0301\u043C\u0430; \u0430\u043D\u0433\u043B. System-on-a-Chip, SoC) \u2014 \u044D\u043B\u0435\u043A\u0442\u0440\u043E\u043D\u043D\u0430\u044F \u0441\u0445\u0435\u043C\u0430, \u0432\u044B\u043F\u043E\u043B\u043D\u044F\u044E\u0449\u0430\u044F \u0444\u0443\u043D\u043A\u0446\u0438\u0438 \u0446\u0435\u043B\u043E\u0433\u043E \u0443\u0441\u0442\u0440\u043E\u0439\u0441\u0442\u0432\u0430 (\u043D\u0430\u043F\u0440\u0438\u043C\u0435\u0440, \u043A\u043E\u043C\u043F\u044C\u044E\u0442\u0435\u0440\u0430) \u0438 \u0440\u0430\u0437\u043C\u0435\u0449\u0451\u043D\u043D\u0430\u044F \u043D\u0430 \u043E\u0434\u043D\u043E\u0439 \u0438\u043D\u0442\u0435\u0433\u0440\u0430\u043B\u044C\u043D\u043E\u0439 \u0441\u0445\u0435\u043C\u0435. \u0412 \u0437\u0430\u0432\u0438\u0441\u0438\u043C\u043E\u0441\u0442\u0438 \u043E\u0442 \u043D\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u043E\u043D\u0430 \u043C\u043E\u0436\u0435\u0442 \u043E\u043F\u0435\u0440\u0438\u0440\u043E\u0432\u0430\u0442\u044C \u043A\u0430\u043A \u0446\u0438\u0444\u0440\u043E\u0432\u044B\u043C\u0438 \u0441\u0438\u0433\u043D\u0430\u043B\u0430\u043C\u0438, \u0442\u0430\u043A \u0438 \u0430\u043D\u0430\u043B\u043E\u0433\u043E\u0432\u044B\u043C\u0438, \u0430\u043D\u0430\u043B\u043E\u0433\u043E-\u0446\u0438\u0444\u0440\u043E\u0432\u044B\u043C\u0438, \u0430 \u0442\u0430\u043A\u0436\u0435 \u0447\u0430\u0441\u0442\u043E\u0442\u0430\u043C\u0438 \u0440\u0430\u0434\u0438\u043E\u0434\u0438\u0430\u043F\u0430\u0437\u043E\u043D\u0430. \u041A\u0430\u043A \u043F\u0440\u0430\u0432\u0438\u043B\u043E, \u043F\u0440\u0438\u043C\u0435\u043D\u044F\u044E\u0442\u0441\u044F \u0432 \u043F\u043E\u0440\u0442\u0430\u0442\u0438\u0432\u043D\u044B\u0445 \u0438 \u0432\u0441\u0442\u0440\u0430\u0438\u0432\u0430\u0435\u043C\u044B\u0445 \u0441\u0438\u0441\u0442\u0435\u043C\u0430\u0445. \u0415\u0441\u043B\u0438 \u0440\u0430\u0437\u043C\u0435\u0441\u0442\u0438\u0442\u044C \u0432\u0441\u0435 \u043D\u0435\u043E\u0431\u0445\u043E\u0434\u0438\u043C\u044B\u0435 \u0446\u0435\u043F\u0438 \u043D\u0430 \u043E\u0434\u043D\u043E\u043C \u043F\u043E\u043B\u0443\u043F\u0440\u043E\u0432\u043E\u0434\u043D\u0438\u043A\u043E\u0432\u043E\u043C \u043A\u0440\u0438\u0441\u0442\u0430\u043B\u043B\u0435 \u043D\u0435 \u0443\u0434\u0430\u0451\u0442\u0441\u044F, \u043F\u0440\u0438\u043C\u0435\u043D\u044F\u0435\u0442\u0441\u044F \u0441\u0445\u0435\u043C\u0430 \u0438\u0437 \u043D\u0435\u0441\u043A\u043E\u043B\u044C\u043A\u0438\u0445 \u043A\u0440\u0438\u0441\u0442\u0430\u043B\u043B\u043E\u0432, \u043F\u043E\u043C\u0435\u0449\u0451\u043D\u043D\u044B\u0445 \u0432 \u0435\u0434\u0438\u043D\u044B\u0439 \u043A\u043E\u0440\u043F\u0443\u0441 (\u0430\u043D\u0433\u043B. , SiP). SoC \u0441\u0447\u0438\u0442\u0430\u0435\u0442\u0441\u044F \u0431\u043E\u043B\u0435\u0435 \u0432\u044B\u0433\u043E\u0434\u043D\u043E\u0439 \u043A\u043E\u043D\u0441\u0442\u0440\u0443\u043A\u0446\u0438\u0435\u0439, \u0442\u0430\u043A \u043A\u0430\u043A \u043F\u043E\u0437\u0432\u043E\u043B\u044F\u0435\u0442 \u0443\u0432\u0435\u043B\u0438\u0447\u0438\u0442\u044C \u043F\u0440\u043E\u0446\u0435\u043D\u0442 \u0433\u043E\u0434\u043D\u044B\u0445 \u0443\u0441\u0442\u0440\u043E\u0439\u0441\u0442\u0432 \u043F\u0440\u0438 \u0438\u0437\u0433\u043E\u0442\u043E\u0432\u043B\u0435\u043D\u0438\u0438 \u0438 \u0443\u043F\u0440\u043E\u0441\u0442\u0438\u0442\u044C \u043A\u043E\u043D\u0441\u0442\u0440\u0443\u043A\u0446\u0438\u044E \u043A\u043E\u0440\u043F\u0443\u0441\u0430."@ru , "Syst\u00E9m na \u010Dipu (anglicky system on chip, zkratkou SoC) je integrovan\u00FD obvod, kter\u00FD zahrnuje v\u0161echny sou\u010D\u00E1sti po\u010D\u00EDta\u010De nebo jin\u00E9ho elektronick\u00E9ho syst\u00E9mu do jedin\u00E9ho \u010Dipu. M\u016F\u017Ee zahrnovat digit\u00E1ln\u00ED, analogov\u00E9 a sm\u00ED\u0161en\u00E9 obvody, a \u010Dasto tak\u00E9 r\u00E1diov\u00E9 obvody - v\u0161e na jednom \u010Dipu. Tyto syst\u00E9my jsou velmi \u010Dast\u00E9 v mobiln\u00ED elektronice d\u00EDky jejich mal\u00E9 spot\u0159eb\u011B energie. Typick\u00E9 vyu\u017Eit\u00ED je v oblasti vestav\u011Bn\u00FDch syst\u00E9m\u016F."@cs , "\uB2E8\uC77C \uCE69 \uC2DC\uC2A4\uD15C(\uC601\uC5B4: System on Chip, \uC57D\uCE6D:SoC)\uC740 \uD558\uB098\uC758 \uC9D1\uC801\uD68C\uB85C\uC5D0 \uC9D1\uC801\uB41C \uCEF4\uD4E8\uD130\uB098 \uC804\uC790 \uC2DC\uC2A4\uD15C \uBD80\uD488\uC744 \uAC00\uB9AC\uD0A8\uB2E4. \uB514\uC9C0\uD138 \uC2E0\uD638, \uC544\uB0A0\uB85C\uADF8 \uC2E0\uD638, \uC640 RF \uAE30\uB2A5\uB4F1\uC774 \uB2E8\uC77C \uCE69\uC5D0 \uAD6C\uD604\uB418\uC5B4 \uC788\uB2E4. \uC77C\uBC18\uC801\uC73C\uB85C \uC784\uBCA0\uB514\uB4DC \uC2DC\uC2A4\uD15C \uC601\uC5ED\uC5D0 \uC8FC\uB85C \uC0AC\uC6A9\uB41C\uB2E4. \uD2B9\uC815 \uC751\uC6A9\uC5D0\uC11C \uB2E8\uC77C \uCE69 \uC2DC\uC2A4\uD15C\uC744 \uAD6C\uD604\uD560 \uC218 \uC5C6\uC744 \uACBD\uC6B0, \uB2E8\uC77C \uD328\uD0A4\uC9C0\uC5D0 \uC5EC\uB7EC \uCE69\uC744 \uAD6C\uC131\uD55C (SIP)\uC744 \uC0AC\uC6A9\uD560 \uC218 \uC788\uB2E4. \uB2E8\uC77C \uCE69 \uC2DC\uC2A4\uD15C\uC740 \uB2E8\uC77C\uBA74\uC801\uC5D0 \uC81C\uC870\uB418\uB294 \uC18C\uC790\uC218\uAC00 \uB9CE\uC544\uC9C0\uACE0 \uD328\uD0A4\uC9C0\uAC00 \uB2E8\uC21C\uD574\uC9C0\uAE30 \uB54C\uBB38\uC5D0 \uC0DD\uC0B0\uBE44\uC6A9\uC774 \uD06C\uAC8C \uAC10\uC18C\uB418\uB294 \uAC83\uC73C\uB85C \uC2E0\uB8B0\uC131\uC744 \uC5BB\uB294\uB2E4. 2008\uB144 6\uC6D4 2\uC77C \uC5D4\uBE44\uB514\uC544\uB294 \uACF5\uC2DD\uC801\uC73C\uB85C \uBC29\uC1A1\uC6A9 \uAE30\uB2A5\uC774 \uD0D1\uC7AC\uB41C \uB2E8\uC77C \uCE69 \uC2DC\uC2A4\uD15C, \uC5D4\uBE44\uB514\uC544 \uD14C\uADF8\uB77C \uC81C\uD488\uAD70\uC744 \uBC1C\uD45C\uD588\uB2E4."@ko , "A system on a chip or system-on-chip (SoC /\u02CC\u02C8\u025Bso\u028Asi\u02D0/; pl. SoCs /\u02CC\u02C8\u025Bso\u028Asi\u02D0z/) is an integrated circuit that integrates most or all components of a computer or other electronic system. These components almost always include a central processing unit (CPU), memory interfaces, on-chip input/output devices, input/output interfaces, and secondary storage interfaces, often alongside other components such as radio modems and a graphics processing unit (GPU) \u2013 all on a single substrate or microchip. It may contain digital, analog, mixed-signal, and often radio frequency signal processing functions (otherwise it is considered only an application processor). Higher-performance SoCs are often paired with dedicated and physically separate memory and secondary storage (such as LPDDR and eUFS or eMMC, respectively) chips, that may be layered on top of the SoC in what's known as a package on package (PoP) configuration, or be placed close to the SoC. Additionally, SoCs may use separate wireless modems. SoCs are in contrast to the common traditional motherboard-based PC architecture, which separates components based on function and connects them through a central interfacing circuit board. Whereas a motherboard houses and connects detachable or replaceable components, SoCs integrate all of these components into a single integrated circuit. An SoC will typically integrate a CPU, graphics and memory interfaces, secondary storage and USB connectivity, random-access and read-only memories and secondary storage and/or their controllers on a single circuit die, whereas a motherboard would connect these modules as discrete components or expansion cards. An SoC integrates a microcontroller, microprocessor or perhaps several processor cores with peripherals like a GPU, Wi-Fi and cellular network radio modems, and/or one or more coprocessors. Similar to how a microcontroller integrates a microprocessor with peripheral circuits and memory, an SoC can be seen as integrating a microcontroller with even more advanced peripherals. For an overview of integrating system components, see system integration. More tightly integrated computer system designs improve performance and reduce power consumption as well as semiconductor die area than multi-chip designs with equivalent functionality. This comes at the cost of reduced replaceability of components. By definition, SoC designs are fully or nearly fully integrated across different component modules. For these reasons, there has been a general trend towards tighter integration of components in the computer hardware industry, in part due to the influence of SoCs and lessons learned from the mobile and embedded computing markets. SoCs can be viewed as part of a larger trend towards embedded computing and hardware acceleration. SoCs are very common in the mobile computing (such as in smartphones and tablet computers) and edge computing markets. They are also commonly used in embedded systems such as WiFi routers and the Internet of things."@en , "Un system on a chip (o system-on-a-chip, abbreviato SoC, lett. \"sistema su circuito integrato\"), nell'elettronica digitale, \u00E8 un circuito integrato che in un solo chip contiene un intero sistema, o meglio, oltre al processore centrale, integra anche un chipset ed eventualmente altri controller come quello per la memoria RAM, la circuiteria input/output o il sotto sistema video. Un singolo chip pu\u00F2 contenere componenti digitali, analogici e circuiti in radiofrequenza in un unico integrato. Questa tipologia di integrati viene utilizzata comunemente nelle applicazioni embedded, date le dimensioni ridotte che essi raggiungono con l'integrazione di tutti i componenti. Una alternativa \u00E8 costituita da (SiP), ovvero un singolo package che racchiude in s\u00E9 diversi circuiti integrati."@it , "\u0421\u0438\u0441\u0442\u0435\u043C\u0430 \u043D\u0430 \u043A\u0440\u0438\u0441\u0442\u0430\u043B\u0456, \u0430\u0431\u043E \u0421\u0438\u0441\u0442\u0435\u043C\u0430 \u043D\u0430 \u0447\u0438\u043F\u0456 (\u0432\u0456\u0434 \u0430\u043D\u0433\u043B. System-on-a-chip, \u0430\u0431\u043E \u0456\u0449\u0435 SoC \u0447\u0438 SOC) \u2014 \u0434\u0438\u0437\u0430\u0439\u043D \u0435\u043B\u0435\u043A\u0442\u0440\u043E\u043D\u043D\u043E\u0457 \u0441\u0445\u0435\u043C\u0438, \u044F\u043A\u0430 \u0432\u043C\u0456\u0449\u0443\u0454 \u0444\u0443\u043D\u043A\u0446\u0456\u043E\u043D\u0430\u043B\u044C\u043D\u0456 \u0441\u043A\u043B\u0430\u0434\u043E\u0432\u0456 \u0446\u0456\u043B\u043E\u0433\u043E \u043F\u0440\u0438\u0441\u0442\u0440\u043E\u044E (\u043D\u0430\u043F\u0440\u0438\u043A\u043B\u0430\u0434 \u043A\u043E\u043C\u043F'\u044E\u0442\u0435\u0440\u0430) \u043D\u0430 \u043E\u0434\u043D\u0456\u0439 \u043C\u0456\u043A\u0440\u043E\u0441\u0445\u0435\u043C\u0456. \u0417\u0430\u043B\u0435\u0436\u043D\u043E \u0432\u0456\u0434 \u043F\u0440\u0438\u0437\u043D\u0430\u0447\u0435\u043D\u043D\u044F SoC \u043C\u043E\u0436\u0435 \u043E\u043F\u0435\u0440\u0443\u0432\u0430\u0442\u0438 \u044F\u043A \u0446\u0438\u0444\u0440\u043E\u0432\u0438\u043C\u0438 \u0441\u0438\u0433\u043D\u0430\u043B\u0430\u043C\u0438, \u0442\u0430\u043A \u0456 \u0430\u043D\u0430\u043B\u043E\u0433\u043E\u0432\u0438\u043C\u0438, \u0430\u043D\u0430\u043B\u043E\u0433\u043E-\u0446\u0438\u0444\u0440\u043E\u0432\u0438\u043C\u0438, \u0430 \u0442\u0430\u043A\u043E\u0436 \u0447\u0430\u0441\u0442\u043E\u0442\u0430\u043C\u0438 \u0440\u0430\u0434\u0456\u043E\u0434\u0456\u0430\u043F\u0430\u0437\u043E\u043D\u0443. \u0422\u0438\u043F\u043E\u0432\u0438\u043C \u0437\u0430\u0441\u0442\u043E\u0441\u0443\u0432\u0430\u043D\u043D\u044F\u043C \u0442\u0430\u043A\u0438\u0445 \u0441\u0445\u0435\u043C \u0454 \u0448\u0438\u0440\u043E\u043A\u0435 \u0440\u0456\u0437\u043D\u043E\u043C\u0430\u043D\u0456\u0442\u0442\u044F \u0432\u0431\u0443\u0434\u043E\u0432\u0430\u043D\u0438\u0445 \u0441\u0438\u0441\u0442\u0435\u043C. \u042F\u043A\u0449\u043E \u043D\u0435 \u0432\u0434\u0430\u0454\u0442\u044C\u0441\u044F \u0440\u043E\u0437\u043C\u0456\u0441\u0442\u0438\u0442\u0438 \u0432\u0441\u0456 \u043D\u0435\u043E\u0431\u0445\u0456\u0434\u043D\u0456 \u0441\u0445\u0435\u043C\u0438 \u043D\u0430 \u043E\u0434\u043D\u043E\u043C\u0443 \u043D\u0430\u043F\u0456\u0432\u043F\u0440\u043E\u0432\u0456\u0434\u043D\u0438\u043A\u043E\u0432\u043E\u043C\u0443 \u043A\u0440\u0438\u0441\u0442\u0430\u043B\u0456, \u0442\u043E \u0432\u0438\u043A\u043E\u0440\u0438\u0441\u0442\u043E\u0432\u0443\u0454\u0442\u044C\u0441\u044F \u0441\u0445\u0435\u043C\u0430 \u0456\u0437 \u0434\u0435\u043A\u0456\u043B\u044C\u043A\u043E\u0445 \u043A\u0440\u0438\u0441\u0442\u0430\u043B\u0456\u0432, \u0440\u043E\u0437\u043C\u0456\u0449\u0435\u043D\u0438\u0445 \u0432 \u043E\u0434\u043D\u043E\u043C\u0443 \u043A\u043E\u0440\u043F\u0443\u0441\u0456 (System in Package \u2014 SiP). SoC \u0432\u0432\u0430\u0436\u0430\u0454\u0442\u044C\u0441\u044F \u0432\u0438\u0433\u0456\u0434\u043D\u0456\u0448\u043E\u044E \u043A\u043E\u043D\u0441\u0442\u0440\u0443\u043A\u0446\u0456\u0454\u044E, \u043E\u0441\u043A\u0456\u043B\u044C\u043A\u0438 \u0434\u043E\u0437\u0432\u043E\u043B\u044F\u0454 \u0437\u0431\u0456\u043B\u044C\u0448\u0438\u0442\u0438 \u0432\u0456\u0434\u0441\u043E\u0442\u043E\u043A \u043F\u0440\u0438\u0434\u0430\u0442\u043D\u0438\u0445 \u0441\u0445\u0435\u043C \u043F\u0440\u0438 \u0432\u0438\u0433\u043E\u0442\u043E\u0432\u043B\u0435\u043D\u043D\u0456 \u0442\u0430 \u0441\u043F\u0440\u043E\u0441\u0442\u0438\u0442\u0438 \u043A\u043E\u043D\u0441\u0442\u0440\u0443\u043A\u0446\u0456\u044E \u043A\u043E\u0440\u043F\u0443\u0441\u0430."@uk , "Unter System-on-a-Chip (SoC, dt. Ein-Chip-System), auch System-on-Chip, versteht man die Integration aller oder eines gro\u00DFen Teils der Funktionen eines programmierbaren elektronischen Systems auf einem Chip (Die), also einem integrierten Schaltkreis (IC) auf einem Halbleiter-Substrat, auch monolithische Integration genannt. Aufgrund der h\u00E4ufigen Nutzung von Silizium als Substratmaterial spricht man auch von System-on-Silicon (SoS). Als System wird dabei eine Kombination unterschiedlicher Elemente (logischen Schaltungen, Taktgebung, selbst\u00E4ndiges Anlaufen, mikrotechnische Sensoren usw.) aufgefasst, die zusammen eine bestimmte Funktionalit\u00E4t bereitstellen, beispielsweise ein Beschleunigungssensor samt Auswertungselektronik. Eingesetzt werden SoCs \u00FCblicherweise in eingebetteten Systemen. W\u00E4hrend Systeme anf\u00E4nglich aus einem Mikroprozessor- oder Mikrocontroller-IC und vielen anderen ICs f\u00FCr spezielle Funktionen bestanden, die auf einer Platine aufgel\u00F6tet waren, l\u00E4sst die heute m\u00F6gliche Integrationsdichte zu, nahezu alle Funktionen auf einem einzigen IC zu vereinigen. Dabei werden digitale, analoge und Mixed-Signal-Funktionseinheiten integriert. Vorteile sind vor allem Kosteneinsparung, geringerer Energieverbrauch beziehungsweise Verlustleistung und umfassende Miniaturisierung. So ist heute beispielsweise bei Mobiltelefonen die digitale Funktion, gegebenenfalls mit Ausnahme des Speichers, auf einem IC realisiert. Auch die Schnittstellen beispielsweise zur Tastatur, zur SIM-Karte oder zum Display sind bereits auf diesem IC enthalten. Eine \u00E4hnliche Technik, um hohe Integrationsdichten auch von Bauelementen von stark unterschiedlicher Technik zu erreichen, ist das sogenannte System-in-Package (SiP). Dabei werden mehrere Chips in einem Geh\u00E4use zusammengefasst."@de , "System-on-a-chip (SoC), System On Chip (SOC) ou, em portugu\u00EAs, sistema-em-um-chip, se refere a todos os componentes de um computador, ou qualquer outro sistema eletr\u00F4nico, em um circuito integrado (chip). Ele pode conter fun\u00E7\u00F5es digitais, anal\u00F3gicas, mistas e, muitas vezes, de radiofrequ\u00EAncia - RF; tudo em apenas um. Uma t\u00EDpica aplica\u00E7\u00E3o \u00E9 na \u00E1rea de sistemas embarcados. As caracter\u00EDsticas de um SoC assemelham-se \u00E0s de um microcontrolador. Normalmente, microcontroladores possuem menos que 100K de RAM (apenas poucos Kilobytes), e frequentemente s\u00E3o sistemas de chip \u00FAnico. Enquanto o termo SoC \u00E9 usado v\u00E1rias vezes para processadores mais potentes, capazes de executarem programas como o Windows ou o Linux, nas quais necessitam de mem\u00F3rias externas (flash, RAM) para funcionarem, e que s\u00E3o usados com v\u00E1rios perif\u00E9ricos acoplados. A grande maioria dos sistemas que se rotulam System-on-chip possuem uma conota\u00E7\u00E3o t\u00E9cnica maior de que a realidade: aumentam a integra\u00E7\u00E3o do chip para reduzir os custos de fabrica\u00E7\u00E3o e tornar dispon\u00EDveis sistemas mais compactos. Muitos s\u00E3o complexos demais para se ajustarem em apenas um chip constru\u00EDdo com um processo otimizado para apenas uma das fun\u00E7\u00F5es do sistema. Quando n\u00E3o h\u00E1 praticidade para construir uma determinada aplica\u00E7\u00E3o SoC, uma alternativa \u00E9 o sistema em um encapsulamento (System in package (SiP), em ingl\u00EAs), abrangendo v\u00E1rios chips em um \u00FAnico encapsulamento. E, em larga escala, acredita-se que o SoC possui um custo menor que o SiP, quando aumentada a produ\u00E7\u00E3o, por ser mais simples de realizar o agrupamento. Outra op\u00E7\u00E3o, por exemplo, como visto na maioria dos telefones celulares e no Beagleboard, \u00E9 o \"pacote em pacote\" empilhando durante a montagem do cart\u00E3o. O chip SoC inclui processadores e n\u00FAmeros digitais perif\u00E9ricos; e vem em uma grade de bolas, pacote com conex\u00F5es inferiores e superiores. As bolas inferiores conectam o cart\u00E3o e v\u00E1rios perif\u00E9ricos, com as bolas superiores em um anel segurando um barramento de mem\u00F3rias usado para acessar NAND flash e DDR2 RAM. Pacotes de mem\u00F3ria podem vir de v\u00E1rios fornecedores."@pt . @prefix gold: . dbr:System_on_a_chip gold:hypernym dbr:Circuit . @prefix prov: . dbr:System_on_a_chip prov:wasDerivedFrom . @prefix xsd: . dbr:System_on_a_chip dbo:wikiPageLength "45365"^^xsd:nonNegativeInteger . @prefix wikipedia-en: . dbr:System_on_a_chip foaf:isPrimaryTopicOf wikipedia-en:System_on_a_chip .