About: Ring oscillator     Goto   Sponge   NotDistinct   Permalink

An Entity of Type : yago:WikicatDigitalCircuits, within Data Space : dbpedia.org associated with source document(s)
QRcode icon
http://dbpedia.org/describe/?url=http%3A%2F%2Fdbpedia.org%2Fresource%2FRing_oscillator

A ring oscillator is a device composed of an odd number of NOT gates in a ring, whose output oscillates between two voltage levels, representing true and false. The NOT gates, or inverters, are attached in a chain and the output of the last inverter is fed back into the first.

AttributesValues
rdf:type
rdfs:label
  • مذبذب الحلقة (ar)
  • Ringoszillator (de)
  • Générateur de créneaux (fr)
  • リング・オシレータ (ja)
  • Ring oscillator (en)
  • Кольцевой генератор (ru)
  • 环形振荡器 (zh)
  • Кільцевий осцилятор (uk)
rdfs:comment
  • مذبذب الحلقة (بالإنجليزية Ring oscillators) هو جهاز يتكون من عدد فردي لا يقل عن ثلاثة من العواكس، حيث يتذبذب الجهد الخارج (Q) بين اثنين من مستويات الجهد: 0 و1. يتم توصيل العواكس مع بعضها في سلسلة، بحيث يكون خرج العاكس الأخير هو دخل العاكس الأول. (ar)
  • Ein Ringoszillator ist eine elektronische Relaxationsoszillator-Schaltung für hohe Frequenzen mit nicht-sinusförmigen Signalen. Er beruht auf der Laufzeit einer ungeraden Anzahl von digitalen Verstärker-Bauelementen, die zu einem Ring zusammengeschaltet sind, das heißt, der Ausgang des letzten Bauelements ist auf den Eingang des ersten positiv rückgekoppelt.Der einfachste Fall ist eine Ringschaltung aus drei Invertern.Er schwingt selbständig an, das heißt, er benötigt keine Blindwiderstandkomponenten wie Kondensatoren oder Spulen (vgl. Phasenschieber). Seine Frequenz ist spannungs- und temperaturabhängig. (de)
  • Un générateur de créneaux est un oscillateur électronique comportant un nombre impair de portes NAND en cascade, dont le signal de sortie présente une succession régulières de plateaux à deux tensions, l'une haute (représentant conventionnellement un bit logique de 1), l'autre basse (bit logique de 0). (fr)
  • A ring oscillator is a device composed of an odd number of NOT gates in a ring, whose output oscillates between two voltage levels, representing true and false. The NOT gates, or inverters, are attached in a chain and the output of the last inverter is fed back into the first. (en)
  • リング・オシレータ (ring oscillator) は、全体として負(-1以下)のゲインを持つ複数個の遅延要素(典型的には奇数個のNOTゲート)をリング状に結合した構成をもつ発振回路である。 奇数個のNOTゲート(インバータ)を用いる構成では、各インバータの出力が鎖状に別のインバータに入力され、最終段のインバータの出力は初段のインバータに入力されており、全体としてリング構造になっている。奇数個のインバータ鎖は、全体として入力の論理否定となる。各インバータは有限の遅延時間をもつため、初段インバータへの入力から有限の遅延時間後に最終段のインバータが初段入力の論理否定を出力し、これが再び初段インバータに入力される。このプロセスが繰り返されることで発振する。 インバータを偶数個にすると、最終段の出力が初段の入力と同じになるため発振はおこらない。しかしながら、偶数個のインバータ・リングは2通りの安定状態をもち、Static Random Access Memory (SRAM) の基本素子として用いられる。(双安定マルチバイブレータ) (ja)
  • Кільцевий осцилятор — пристрій, що складається з непарної кількості інверторів, які формують кільце. Його вихідний сигнал коливається між двома рівнями напруги: істина та хибнисть. Вихід останнього інвертора подається назад у перший, формуючи циклічний ланцюг. (uk)
  • 环形振荡器是一种采用奇数个反向器(inverter or NOT gate)组成的环形电路。电路的输出是透過振荡产生两个电平(voltage level),也就是方波。如果採用偶数个反向器串接,會使得輸出電壓與輸入電壓相同,訊號沒有任何變化,因此无法形成环形振荡器。 环形振盪器的频率,其中 t 為单个反向器的延迟时间,n 為反向器的个数。 (zh)
  • Кольцевой генератор — электронное устройство, состоящее из нечётного числа инвертирующих каскадов или цифровых инверторов и служащее для генерации последовательности прямоугольных импульсов. Генерация возникает за счёт того, что коэффициент усиления цепи элементов больше единицы, а фазовая задержка более 180 градусов. Замыкание входа и выхода одиночного каскада, как правило, не приводит к генерации, так как выполняется только первое условие. Один инвертирующий каскад с линией задержки (Фиг. 1) в связи вход-выход, однако, способен к генерации. (ru)
foaf:depiction
  • http://commons.wikimedia.org/wiki/Special:FilePath/Pmos_ring_oscillator.png
  • http://commons.wikimedia.org/wiki/Special:FilePath/Ring_osc_5.png
  • http://commons.wikimedia.org/wiki/Special:FilePath/Ring_oscillator_(3-stage).svg
dcterms:subject
Wikipage page ID
Wikipage revision ID
Link from a Wikipage to another Wikipage
sameAs
dbp:wikiPageUsesTemplate
thumbnail
has abstract
  • مذبذب الحلقة (بالإنجليزية Ring oscillators) هو جهاز يتكون من عدد فردي لا يقل عن ثلاثة من العواكس، حيث يتذبذب الجهد الخارج (Q) بين اثنين من مستويات الجهد: 0 و1. يتم توصيل العواكس مع بعضها في سلسلة، بحيث يكون خرج العاكس الأخير هو دخل العاكس الأول. (ar)
  • Ein Ringoszillator ist eine elektronische Relaxationsoszillator-Schaltung für hohe Frequenzen mit nicht-sinusförmigen Signalen. Er beruht auf der Laufzeit einer ungeraden Anzahl von digitalen Verstärker-Bauelementen, die zu einem Ring zusammengeschaltet sind, das heißt, der Ausgang des letzten Bauelements ist auf den Eingang des ersten positiv rückgekoppelt.Der einfachste Fall ist eine Ringschaltung aus drei Invertern.Er schwingt selbständig an, das heißt, er benötigt keine Blindwiderstandkomponenten wie Kondensatoren oder Spulen (vgl. Phasenschieber). Seine Frequenz ist spannungs- und temperaturabhängig. (de)
  • Un générateur de créneaux est un oscillateur électronique comportant un nombre impair de portes NAND en cascade, dont le signal de sortie présente une succession régulières de plateaux à deux tensions, l'une haute (représentant conventionnellement un bit logique de 1), l'autre basse (bit logique de 0). (fr)
  • A ring oscillator is a device composed of an odd number of NOT gates in a ring, whose output oscillates between two voltage levels, representing true and false. The NOT gates, or inverters, are attached in a chain and the output of the last inverter is fed back into the first. (en)
  • リング・オシレータ (ring oscillator) は、全体として負(-1以下)のゲインを持つ複数個の遅延要素(典型的には奇数個のNOTゲート)をリング状に結合した構成をもつ発振回路である。 奇数個のNOTゲート(インバータ)を用いる構成では、各インバータの出力が鎖状に別のインバータに入力され、最終段のインバータの出力は初段のインバータに入力されており、全体としてリング構造になっている。奇数個のインバータ鎖は、全体として入力の論理否定となる。各インバータは有限の遅延時間をもつため、初段インバータへの入力から有限の遅延時間後に最終段のインバータが初段入力の論理否定を出力し、これが再び初段インバータに入力される。このプロセスが繰り返されることで発振する。 インバータを偶数個にすると、最終段の出力が初段の入力と同じになるため発振はおこらない。しかしながら、偶数個のインバータ・リングは2通りの安定状態をもち、Static Random Access Memory (SRAM) の基本素子として用いられる。(双安定マルチバイブレータ) (ja)
  • Кольцевой генератор — электронное устройство, состоящее из нечётного числа инвертирующих каскадов или цифровых инверторов и служащее для генерации последовательности прямоугольных импульсов. Генерация возникает за счёт того, что коэффициент усиления цепи элементов больше единицы, а фазовая задержка более 180 градусов. Замыкание входа и выхода одиночного каскада, как правило, не приводит к генерации, так как выполняется только первое условие. Один инвертирующий каскад с линией задержки (Фиг. 1) в связи вход-выход, однако, способен к генерации. Простейший генератор (Фиг. 2) может быть построен соединением в кольцо трёх инверторов. Подобная схема стартует сразу после подачи питания и генерирует сигнал с частотой 1/6Td, где Td — задержка распространения сигнала через одиночный инвертор. В «чистом» виде подобный генератор обладает сильной зависимостью частоты от питающего напряжения и температуры, а также от свойств транзисторов из которых построены цифровые инверторы. Это свойство, однако, применяется в интегральных микросхемах для контроля параметров процесса. Небольшой тестовый блок состоящий из типичных (библиотечных) элементов, как инвертора, И-НЕ, ИЛИ-НЕ элементов объединенных в кольцевые генераторы, генерирует набор сигналов, измерение частоты которых даёт информацию о задержках каждого из элементов для данного процесса, напряжения питания и температуры. Ограничение тока через инвертор с помощью пары токовых зеркал (Фиг. 3) позволяет управлять задержкой распространения сигнала, и соответственно частотой кольцевого генератора. В таком виде кольцевой генератор может быть использован в качестве элемента ФАПЧ (PLL). Каждый из составляющих кольцевой генератор инверторов может быть дополнен RC-цепочкой. В этом случае (Фиг. 4) задержка на элемент будет в меньшей степени определяться самим инвертером, и в большей степени задержкой RC-цепи. Это позволяет получить генератор с частотой гораздо в меньшей степени зависящей от параметров транзисторов, напряжения питания и температуры. Простейший 3х-элементный кольцевой генератор (Фиг. 2) имеет на выходе 3 сигнала сдвинутых по фазе на 120 градусов. Используя 5, 7 и более каскадов можно получить произвольное количество фаз, однако стоит избегать числа каскадов не равного простому числу, например 9 или 15. Проблема состоит в том, что в генераторе состоящем из, например 9и элементов могут возникать как колебания с частотой 1/18Td, так и колебания с частотой 1/6Td. То есть по кольцу будут распространяться группы сигналов в виде трёх логических единиц, и трёх «нулей». Часто возникающая задача получения тактовых импульсов со сдвигом в 90 и 180 градусов может быть решена объединением трёхэлементных кольцевых генераторов в более сложную структуру (Фиг. 5). Такая схема генерирует две пары комплементарных сигналов сдвинутых на 90 градусов. Существуют структуры, состоящие из инверторов, для генерации восьми и более фаз. Иногда требуется получение нечётного количества фаз, но простая схема из, к примеру, 5и инверторов имеет суммарную задержку не позволяющую получить сигнал требуемой частоты. В этом случае возможно построение пяти-фазного кольцевого генератора из нескольких трёхэлементных (Фиг. 6). (ru)
  • Кільцевий осцилятор — пристрій, що складається з непарної кількості інверторів, які формують кільце. Його вихідний сигнал коливається між двома рівнями напруги: істина та хибнисть. Вихід останнього інвертора подається назад у перший, формуючи циклічний ланцюг. (uk)
  • 环形振荡器是一种采用奇数个反向器(inverter or NOT gate)组成的环形电路。电路的输出是透過振荡产生两个电平(voltage level),也就是方波。如果採用偶数个反向器串接,會使得輸出電壓與輸入電壓相同,訊號沒有任何變化,因此无法形成环形振荡器。 环形振盪器的频率,其中 t 為单个反向器的延迟时间,n 為反向器的个数。 (zh)
gold:hypernym
prov:wasDerivedFrom
page length (characters) of wiki page
foaf:isPrimaryTopicOf
is Link from a Wikipage to another Wikipage of
Faceted Search & Find service v1.17_git139 as of Feb 29 2024


Alternative Linked Data Documents: ODE     Content Formats:   [cxml] [csv]     RDF   [text] [turtle] [ld+json] [rdf+json] [rdf+xml]     ODATA   [atom+xml] [odata+json]     Microdata   [microdata+json] [html]    About   
This material is Open Knowledge   W3C Semantic Web Technology [RDF Data] Valid XHTML + RDFa
OpenLink Virtuoso version 08.03.3330 as of Mar 19 2024, on Linux (x86_64-generic-linux-glibc212), Single-Server Edition (62 GB total memory, 54 GB memory in use)
Data on this page belongs to its respective rights holders.
Virtuoso Faceted Browser Copyright © 2009-2024 OpenLink Software